Skip to main content

Advertisement

Log in

Dynamic workload-aware DVFS for multicore systems using machine learning

  • Regular Paper
  • Published:
Computing Aims and scope Submit manuscript

Abstract

With growing heterogeneity and complexity in applications, demand to design an energy-efficient and fast computing system in multi-core architecture has heightened. This paper presents a regression-based dynamic voltage frequency scaling model which studies and utilizes workload characteristics to obtain optimal voltage–frequency (v–f) settings. The proposed framework leverages the workload profile information together with power constraints to compute the best-suited voltage–frequency (v–f) settings to (a) maintain global power budget at chip-level, (b) maximize performance while enforcing power constraints at the per-core level. The presented algorithm works in conjunction with the workload characterizer and senses change in application requirements and apply the knowledge to select the next setting for the core. Our results when compared with two state-of-the-art algorithms MaxBIPS and TPEq achieve the average power reduction of 33% and 25% respectively across 32-core architecture for PARSEC benchmarks.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7

Similar content being viewed by others

References

  1. Ghiasi S, Casmira J, Grunwald D (2000) Using IPC variation in workloads with externally specified rates to reduce power consumption. In: Complexity-effective design at ISCA27

  2. Dhiman G, Rosing TS (2007) Dynamic voltage frequency scaling for multi-tasking systems using online learning. In: Proceedings of international symposium on low power electronics and design, pp 207–212

  3. Dhiman G, Pusukuri KK, Rosing T (2008) Analysis of dynamic voltage scaling for system level energy management. USENIX HotPower, 8

  4. Cebrin JM, Snchez D, Aragn JL, Kaxiras S (2013) Efficient inter-core power and thermal balancing for multicore processors. Computing 95(7):537–566

    Article  Google Scholar 

  5. Reddy BK, Singh AK, Biswas D, Merrett GV, Al-Hashimi BM (2017) Inter-cluster thread-to-core mapping and DVFS on heterogeneous multi-cores. IEEE Trans Multi-Scale Comput Syst 4(3):369–82

    Article  Google Scholar 

  6. Kim W, Gupta MS, Wei GY, Brooks D (2008) System level analysis of fast, per-core DVFS using on-chip switching regulators. In: 2008 IEEE 14th international symposium on high performance computer architecture. IEEE, pp 123–134

  7. Yang S, Shafik RA, Merrett GV, Stott E, Levine JM, Davis J, Al-Hashimi BM (2015) Adaptive energy minimization of embedded heterogeneous systems using regression-based learning. In: 2015 25th international workshop on power and timing modeling, optimization and simulation (PATMOS), pp 103–110

  8. Qiu M, Sha EHM (2009) Cost minimization while satisfying hard/soft timing constraints for heterogeneous embedded systems. ACM Trans Des Autom Electron Syst 14(2):25:1–25:30

    Article  Google Scholar 

  9. Cochran R, Hankendi C, Coskun AK, Reda S (2011) Pack & cap: adaptive DVFS and thread packing under power caps. In: Proceedings of the 44th annual IEEE/ACM international symposium on microarchitecture, MICRO-44, (New York, NY, USA), ACM, pp 175–185

  10. Huang H, Lin M, Yang LT, Zhang Q (2020) Autonomous power management with double-Q reinforcement learning method. IEEE Trans Ind Inf 16(3):1938–1946. https://doi.org/10.1109/TII.2019.2953932

    Article  Google Scholar 

  11. Choi K, Soma R, Pedram M (2004) Fine-grained dynamic voltage and frequency scaling for precise energy and performance tradeoff based on the ratio of off-chip access to on-chip computation times. IEEE Trans Comput Aided Des Integr Circuits Syst 24(1):18–28

    Google Scholar 

  12. Choi J, Park G, Nam D (2020) Interference-aware co-scheduling method based on classification of application characteristics from hardware performance counter using data mining. Clust Comput 23(1):57–69

    Article  Google Scholar 

  13. Weissel A, Bellosa F (2002) Process cruise control: event-driven clock scaling for dynamic power management. In: Proceedings of the 2002 international conference on compilers, architecture, and synthesis for embedded systems

  14. Isci C, Buyuktosunoglu A, Cher CY, Bose P, Martonosi M (2006) An analysis of efficient multi-core global power management policies: maximizing performance for a given power budget. In: Proceedings of the 39th annual IEEE/ACM international symposium on microarchitecture, 2006 Dec 9. IEEE Computer Society, pp 347–358

  15. Turakhia Y, Liu G, Garg S, Marculescu D (2016) Thread progress equalization: dynamically adaptive power-constrained performance optimization of multi-threaded applications. IEEE Trans Comput 66(4):731–744

    Article  MathSciNet  Google Scholar 

  16. Ma Y, Chantem T, Dick RP, Hu XS (2017) Improving system-level lifetime reliability of multicore soft real-time systems. IEEE Trans Very Large Scale Integr VLSI Syst 25(6):1895–1905

    Article  Google Scholar 

  17. Bienia C, Kumar S, Singh JP, Li K (2008) The PARSEC benchmark suite: characterization and architectural implications. In: Proceedings of the 17th international conference on parallel architectures and compilation techniques. ACM, pp 72–81

  18. Cohen J, Cohen P, West S, Aiken L (2013) Applied multiple regression/correlation analysis for the behavioral sciences. Taylor & Francis, Milton Park

    Book  Google Scholar 

  19. Gupta M, Bhargava L, Indu S (2019) Dynamic voltage frequency scaling in many-core systems using adaptive regression model. Presented in international conference on signal processing, VLSI and communication engineering (ICSPVCE-2019)

  20. Wang Z, Tian Z, Xu J, Maeda RK, Li H, Yang P, Wang Z, Duong LH, Wang Z, Chen X (2017) Modular reinforcement learning for self-adaptive energy efficiency optimization in multicore system. In: 2017 22nd Asia and South pacific design automation conference (ASP-DAC). IEEE, pp 684–689

  21. Ren S, He L, Li J, Chen Z, Jiang P, Li CT (2019) Contention-aware prediction for performance impact of task co-running in multicore computers. Wirel Netw 13:1–8

    Google Scholar 

  22. http://ark.intel.com/products/37106

  23. Mazouz A, Laurent A, Pradelle B, Jalby W (2014) Evaluation of CPU frequency transition latency. Comput Sci Res Dev 29:187–195

    Article  Google Scholar 

  24. Bacha A, Teodorescu R (2013) Dynamic reduction of voltage margins by leveraging on-chip ECC in Itanium II processors. In: Proceedings of the 40th annual international symposium on computer architecture, pp 297–307

  25. Carlson TE, Heirmant W, Eeckhout L (2011) Sniper: exploring the level of abstraction for scalable and accurate parallel multi-core simulation. In: SC’11: proceedings of 2011 international conference for high performance computing, networking, storage and analysis. IEEE, pp 1–12

  26. Li S, Ahn JH, Strong RD, Brockman JB, Tullsen DM, Jouppi NP (2009) McPAT: an integrated power, area, and timing modeling framework for multicore and manycore architectures. In: Proceedings of the 42nd annual IEEE/ACM international symposium on microarchitecture. ACM, pp 469–480

  27. Chu CT, Kim SK, Lin YA, Yu Y, Bradski GR, Ng AY, Olukotun K (2006) Map-reduce for machine learning on multicore. In: Proceedings of the 20th annual conference on neural information processing systems (NIPS ’06), pp 281–288

  28. Sjlander M, Martonosi M, Kaxiras S (2014) Power-efficient computer architectures: Recent advances. Synth Lect Comput Archit 9(3):1–96

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to S. Indu.

Additional information

Publisher's Note

Springer Nature remains neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Gupta, M., Bhargava, L. & Indu, S. Dynamic workload-aware DVFS for multicore systems using machine learning. Computing 103, 1747–1769 (2021). https://doi.org/10.1007/s00607-020-00845-2

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s00607-020-00845-2

Keywords

Mathematics Subject Classification

Navigation