Skip to main content
Log in

Evaluation of Low-Power Computing when Operating on Subsets of Multicore Processors

  • Published:
Journal of Signal Processing Systems Aims and scope Submit manuscript

Abstract

Given the accelerated growth in tablet devices, smartphones, and netbooks, designers are faced with serious challenges to meet the needs of mobility in terms of battery life and form factor. It is vital to investigate how to deliver the best mobile experience to users while ensuring adequate levels of performance. In this paper, we present a power management evaluation of multi-core processor systems by comparing thermal power, battery life, and performance when running different types of workloads under a limited number of cores. To show the potential gains from a system power management perspective, we have assessed a mobile platform featuring the Second Generation Intel Core i5 processor, and tested it on a wide selection of workloads and benchmarks. Experimental results show significant thermal power reduction (up to 40 %) in a variety of scenarios, while system performance was sustained in most cases but sacrificed in a few other uncommon situations.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Figure 1
Figure 2
Figure 3
Figure 4
Figure 5
Figure 6
Figure 7
Figure 8
Figure 9
Figure 10
Figure 11
Figure 12
Figure 13
Figure 14
Figure 15
Figure 16
Figure 17
Figure 18
Figure 19
Figure 20
Figure 21
Figure 22
Figure 23
Figure 24
Figure 25

Similar content being viewed by others

References

  1. Geer, D., (2005). “Chip Makers Turn to Multicore Processors”, Computer 38, No. 5, 11–13.

  2. Parkhurst, J., Darringer, J., Grundmann, B., (2006). “From Single Core to Multi-core: Preparing for a New Exponential”, In Proceedings of the 2006 IEEE/ACM international conference on Computer-aided design.

  3. Graybill Robert, Melhem Rami, “Power Aware Computing”, 2002.

  4. Advanced Configuration and Power Interface Specification 4.0, www.acpi.info

  5. Alon Naveh, Efi Rotem, Avi Mendelson, Simcha Gochman, Rajshree Chabukswar, Karthik Krishnan, Arun Kumar, “Power and Thermal Management in the Intel® Core™ Duo processor”, Intel Technology Journal, Volume 10, Issue 02, 2006.

  6. Rajshree Chabukswar, “Maximizing Power Savings on Mobile Platforms”, http://software.intel.com/en-us/articles/maximizing-power-savings-on-mobile-platforms/.

  7. Magklis, G., Scott, M.L., Semeraro, G., Albonesi, D.H., and Dropsho, S., (2003). “Profile-based Dynamic Voltage and Frequency Scaling for a Multiple Clock Domain Microprocessor”, In Proceedings of the International Symposium on Computer Architecture.

  8. Kihwan, C., Ramakrishna, S., Pedam, M., (2004). “Dynamic Voltage and Frequency Scaling Based on Workload Decomposition” In Proceedings of the International Symposium on Low power Electronics and Design.

  9. Hong, I., Kirovski, D., Qu, Potkonjak, M., and Srivastava, M.B., (1998). Power optimization of variable voltage core-based systems. In Proceedings of the 35th annual conference on Design automation.

  10. Okuma, T., Ishihara, T. and Yasuura, H., (1999). “Real-time task scheduling for a variable voltage processor”. In ISSS’99: Proceedings of the 12th international symposium on System synthesis, IEEE Computer Society.

  11. Quan, G., & Hu, X. S. (2003). Minimal energy fixed-priority scheduling for variable voltage processors. Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions, 22(8), 1062–1071.

    Article  Google Scholar 

  12. Shin, D., Kim, J., and Lee, S., (2001). “Low-energy intra-task voltage scheduling using static timing analysis”. In DAC’01: Proceedings of the 38th conference on Design automation, pages 438–443, New York, NY, USA. ACM.

  13. Azevedo, A., Issenin, I., Cornea, R., Gupta, R., Dutt, N., Veidenbaum, A., and Nicolau, A., (2002). “Profile-based dynamic voltage scheduling using program checkpoints”. In DATE’02: Proceedings of the conference on Design, automation and test in Europe, page 168, Washington, DC, USA. IEEE Computer Society.

  14. Hong, I., Potkonjak, M., and Srivastava, M.B., (1998). “On-line scheduling of hard real-time tasks on variable voltage processor”. In ICCAD’98: Proceedings of the 1998 IEEE/ACM international conference on Computer-aided design, pages 653–656, New York, NY, USA. ACM.

  15. Zhu, Y., & Mueller, F. (2005). Feedback EDF scheduling of real-time tasks exploiting dynamic voltage scaling. Real-Time Systems, 31(1–3), 33–63.

    Article  MATH  Google Scholar 

  16. Hsu, C.-H., & Kremer, U. (2003). The design, implementation, and evaluation of a compiler algorithm for CPU energy reduction. SIGPLAN Notices, 38(5), 38–48.

    Article  Google Scholar 

  17. Weissel, A., and Bellosa, F., (2002). “Process cruise control: event-driven clock scal- ing for dynamic power management”. In CASES’02: Proceedings of the 2002 international conference on Compilers, Architecture, and Aynthesis for Embedded Systems, pages 238–246, New York, NY, USA. ACM.

  18. Weiser, M., Welch, B.B., Demers, A.J., Shenker, S., (1994). “Scheduling for Reduced CPU Energy,” In Proceedings OSDI.

  19. Govil, K., Chan, E., Wasserman, H., (1995). “Comparing Algorithm for Dynamic Speed-Setting of a Low power CPU,” In Proceedings MOBICOM, pp. 13–25.

  20. Kadayif, I., Kandemir, M., and Kolcu, I., (2004). “Exploiting Processor Workload Heterogeneity for Reducing Energy Consumption in Chip Multiprocessors,” In Proceedings Design, Automation and Test in Europe.

  21. Isci, C., Buyuktosunoglu, A., Cher, C.-Y., Bose, P., and Martonosi, M., (2006). “An Analysis of Efficient Multi-core Global Power Management Policies: Maximizing Performance for a Given Power Budget,” In Proceedings of the 39th Annual IEEE/ACM International Symposium on Microarchitec-ture.

  22. Merkel A., and Bellosa, F., (2008). “Memory-Aware Scheduling for Energy Efficiency on Multicore Processors”. In Proceedings of the 1st USENIX Workshop on Power Aware Computing and Systems.

  23. Snowdon, D.C., Le Sueur, E., Petters, S.M., and Heiser, G., (2009). “Koala: a platform for OS-level power management”. In EuroSys’09: Proceedings of the 4th ACM European conference on Computer systems, pages 289–302. ACM.

  24. Gomaa, M., Powell, M.D., and Vijaykumar, T.N., (2004). “Heat-and-Run: Leveraging SMT and CMP to Manage Power Density through the Operating System,” In Proceedings of the 11th International Conference on Architectural Support for Programming Languages and Operating Systems.

  25. Donald, J., and Martonosi, M., (2006). “Techniques for Multicore Thermal Management: Classification and New Exploration”, In Proceedings of the 33rd Annual International Symposium on Computer Architecture.

  26. Hermerding, J., Distefano, E., Hill, T., Shah, K.R., Srinivasan, V., (November 2011). “Ultrabook™: Doing more with less”, In Proceedings of the International Conference on Energy Aware Computing.

  27. Hamady, F., Kayssi, A., Chehab, A., (November 2011). “Energy Consumption Breakdown of a Modern Mobile Platform Under Various Workloads”, In Proceedings of the International Conference on Energy Aware Computing.

  28. Intel® Turbo Boost Technology - On Demand Processor Performance, http://www.intel.com/content/www/us/en/architecture-and-technology/turbo-boost/turbo-boost-technology.html.

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Ayman Kayssi.

Additional information

This work was supported by Intel’s MER Research Center.

Rights and permissions

Reprints and permissions

About this article

Cite this article

Hamady, F., Kayssi, A., Chehab, A. et al. Evaluation of Low-Power Computing when Operating on Subsets of Multicore Processors. J Sign Process Syst 70, 193–208 (2013). https://doi.org/10.1007/s11265-012-0697-z

Download citation

  • Received:

  • Revised:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s11265-012-0697-z

Keywords

Navigation