Skip to main content
Log in

Minimized Power Consumption for Scan-Based BIST

  • Published:
Journal of Electronic Testing Aims and scope Submit manuscript

Abstract

Power consumption of digital systems may increase significantly during testing. In this paper, systems equipped with a scan-based built-in self-test like the STUMPS architecture are analyzed, the modules and modes with the highest power consumption are identified, and design modifications to reduce power consumption are proposed. The design modifications include some gating logic for masking the scan path activity during shifting, and the synthesis of additional logic for suppressing random patterns which do not contribute to increase the fault coverage. These design changes reduce power consumption during BIST by several orders of magnitude, at very low cost in terms of area and performance.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Similar content being viewed by others

References

  1. A. Bellaouar and M.I. Elmasry, Low-Power VLSI Design: Circuits and Systems, Kluwer Academic Publishers, Boston, 1995.

    Google Scholar 

  2. A.P. Chandrakasan and R.W. Brodersen, Low Power Digital CMOS Design, Kluwer Academic Publichers, Boston, 1995.

    Google Scholar 

  3. B. Davari, R.H. Dennard, and G.G. Shahidi, “CMOS Scaling for High Performance and Low Power-The Next Ten Years,” Proceedings of the IEEE, Vol. 83, No. 4, pp. 595-606, April 1995.

    Google Scholar 

  4. J.D. Meindl, “Low Power Microelectronics: Retrospect and Prospect,” Proceedings of the IEEE, Vol. 83, No. 4, pp. 619-635, April 1995.

    Google Scholar 

  5. J.M. Rabey and M. Pedram, Low Power Design Methodologies, Kluwer Academic Publishers, Boston, 1996.

    Google Scholar 

  6. D. Singh, J.M. Rabaey, M. Pedram, F. Catthoor, S. Rajgopal, N. Sehgal, and T.J. Mozdzen, “Power Conscious CAD Tools and Methodologies: A Perspective,” Proceedings of the IEEE, Vol. 83, No. 4, pp. 570-594, April 1995.

    Google Scholar 

  7. W. Nebel and J. Mermet, Low Power Design in Deep Submicron Electronics, Kluwer, 1997.

  8. E.B. Eichelberger and E. Lindbloom, “Random-Pattern Coverage Enhancement and Diagnosis for LSSD Logic Self-Test,” IBM Journal of Research and Developments, Vol. 27, No. 3, pp. 265-272, May 1983.

    Google Scholar 

  9. P.H. Bardell and W.H. McAnney, “Parallel Paeudo-random Sequences for Built-In Test,” Proc. Int. Test Conf., 1984, pp. 302-308.

  10. H.-J. Wunderlich, “Multiple Distributions for Biased Random Test Patterns,” Proc. IEEE International Test Conference, Washington D.C., USA, 1988, pp. 236-244.

  11. R. Lisanke, F. Brglez, A. de Geus, and D. Gregory, “Testability-Driven Random Test-Pattern Generation,” IEEE Trans. On Computer-Aided Design, Vol. CAD-6, No. 6, pp. 1082-1087, Nov. 1987.

    Google Scholar 

  12. S. Hellebrand, J. Rajski, S. Tarnick, S. Venkataraman, and B. Courtois, “Built-In Test for Circuits with Scan Based on Reseeding of Multiple-Polynomial Linear Feedback Shift Registers,” IEEE Transactions on Computers, Vol. 44, No. 2, pp. 223-233, Feb. 1995.

    Google Scholar 

  13. N.A. Touba and E.J. McCluskey, “Altering a Pseudo-Random Bit Sequence for Scan-Based BIST,” Proceedings IEEE International Test Conference, Washington D.C., 1996, pp. 167-175.

  14. H.-J. Wunderlich and G. Kiefer, “Bit-Flipping BIST,” Proceedings IEEE/ACM International Conference on CAD-96, San Jose, CA, Nov. 1996, pp. 337-343

  15. J. Monzel, S. Chakravarty, V.D. Agrawal, R. Aitken, J. Braden, J. Figueras, S. Kumar, H.-J.Wunderlich, and Y. Zorian, “Power Dissipation During Testing: Should We Worry About it?,” Panel Session, IEEE VLSI Test Symposium, Monterey, 1997.

  16. R.M. Chou, K.K. Saluja, and V.D. Agrawal, “Scheduling Tests for VLSI Systems Under Power Constraints,” IEEE Transactions on Very Large Scale Integration (VLSI) Systems, Vol. 5, No. 2, pp. 175-185, June 1997.

    Google Scholar 

  17. Y. Zorian, “A Distributed BIST Control Scheme for Complex VLSI Devices,” Proc. 11th IEEE VLSI Test Symposium, April 1993, pp. 4-9.

  18. S. Chakravarty and V.P. Dabholkar, “Two Techniques for Minimizing Power Dissipation in Scan Circuits During Test Application,” Proc. IEEE Asian Test Conference, 1994, pp. 324-329.

  19. S.Wang and S.K. Gupta, “DS-LFSR:ANewBIST TPG for Low Heat Dissipation,” Proc. IEEE International Test Conference, 1997, pp. 848-857.

  20. Y. Taur, Y.J. Mii, D.J. Frank, H.S. Wong, D.A. Buchanan, S.J. Wind, S.A. Rishton, G.A. Sai-Halasz, and E.J. Nowak, “CMOS Scaling into the 21st Century: 0.1 μm and Beyond,” IBM Journal of Research and Development, Vol. 39, No. 1/2, pp. 245-260, Jan./March 1995.

    Google Scholar 

  21. S. Devadas and S. Malik, “A Survey of Optimization Techniques Targeting Low Power VLSI Circuits,” 32nd Design Automation Conference, San Francisco, USA, 1995, pp. 242-247.

  22. D. Bryan, “The ISCAS '85 Benchmark Circuits and Netlist Format,” North-Carolina State University, 1985.

  23. F. Brglez, D. Bryan, and K. Kozminski, “Notes on the ISCAS '89 Benchmark Circuits,” North-Carolina State University, 1989.

  24. S. Hellebrand, H.-J.Wunderlich, and A. Hertwig, “Mixed-Mode BIST Using Embedded Processors,” Proc. International Test Conference, 1996, pp. 195-204.

  25. M. Zelleroehr, A. Hertwig, and H.-J. Wunderlich, “Scan-Path Design for Low-Power Serial Built-In Self-Test,” GI and IEEE Workshop Testmethoden und Zuverlässigkeit von Schaltungen und Systemen, Herrenberg, March 1998.

  26. Meta Software, Inc., HSPICE User's Manual, Vol. I-III, 1996.

  27. R.K. Brayton, G.D. Hachtel, C.T. McMullen, and A.L. Sangiovanni-Vincentelli, Logic Minimization Algorithms for VLSI Synthesis, Kluwer Academic Press, Boston, La Hague, Dordrecht, Lancaster, 1986.

    Google Scholar 

  28. E.M. Sentovich, K.J. Singh, L. Lavagno, C. Moon, R. Murgai, A. Saldanha, H. Savoj, P.R. Stephan, R.K. Brayton, and A. Sangiovanni-Vincentelli, “SIS: A System for Sequential Circuit Synthesis,” Department of Electrical Engineering and Computer Science, University of California, Berkeley, 1992.

    Google Scholar 

  29. A. Hertwig, H.-J. Wunderlich, and M. Zelleröhr, “Low Power Serial Built-In Self-Test,” IEEE European Test Workshop, Sitges, Barcelona, Spain, 1998.

Download references

Author information

Authors and Affiliations

Authors

Rights and permissions

Reprints and permissions

About this article

Cite this article

Gerstendörfer, S., Wunderlich, HJ. Minimized Power Consumption for Scan-Based BIST. Journal of Electronic Testing 16, 203–212 (2000). https://doi.org/10.1023/A:1008383013319

Download citation

  • Issue Date:

  • DOI: https://doi.org/10.1023/A:1008383013319

Navigation