Skip to main content
  • 1166 Accesses

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 129.00
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 169.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 169.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. H. M. Ahmed, “Signal Processing Algorithms and Architectures,” Ph. D. dissertation, Department of Electrical Engineering, Stanford University, CA. Jun. 1982.

    Google Scholar 

  2. H. M. Ahmed, “Efficient Elementary Function Generation with Multipliers,” in Proc. 9th Symposium on Computer Arithmetic, Santa Monica, CA, USA, Sept. 1989, pp. 52–59.

    Google Scholar 

  3. Y. Ahn, and S. Nahm, “VLSI Design of a CORDIC-based Derotator,” in Proc. ISCAS'98, Vol. 2, June 1998, pp. 449–452.

    Google Scholar 

  4. J. Bu, E. F. Deprettere, and F. du Lange, “On the Optimization of Pipelined Silicon CORDIC Algorithm,” in Proc. European Signal Processing Conference (EUSIPCO), Sep. 1988, pp. 1,227–1,230.

    Google Scholar 

  5. J. R. Cavallaro, and F. T. Luk, “Floating Point CORDIC for Matrix Computations,” in Proc. IEEE International Conference on Computer Design, Oct. 1988, pp. 40–42.

    Google Scholar 

  6. J. R. Cavallaro, and F. T. Luk, “CORDIC Arithmetic for a SVD processor,” Journal of Parallel and Distributed Computing, Vol. 5, pp. 271–290, June 1988.

    Article  Google Scholar 

  7. D. Cochran, “Algorithms and Accuracy in the HP-35,” Hewlett Packard Journal, pp. 10–11, Jun. 1992.

    Google Scholar 

  8. F. Curticãpean, and J. Niittylahti, “An Improved Digital Quadrature Frequency Down-Converter Architecture,” Asilomar Conf. on Signals, Syst. and Comput., Nov. 2001, pp. II-1318–1321.

    Google Scholar 

  9. M. Dachroth, B. Hoppe, H. Meuth, and U. W. Steiger, “High-Speed Architecture and Hardware Implementation of a 16-bit 100 MHz Numerically Controlled Oscillator,” in Proc. ESSCIRC'98, Sept. 1998, pp. 456–459.

    Google Scholar 

  10. H. Dawid, and H. Meyr, “The Differential CORDIC Algorithm: Constant Scale Factor Redundant Implementation without Correcting Iterations,” IEEE Trans. on Computers, Vol. 45, No. 3, pp. 307–318, Mar. 1996.

    Article  Google Scholar 

  11. J. Duprat, and J. M. Muller, “The CORDIC Algorithm: New Results for Fast VLSI Implementation,” IEEE Trans. on Computers, Vol. 42, No. 2, pp. 168–178, Feb. 1993.

    Article  Google Scholar 

  12. R. A. Duryea, and C. Pottle, “Finite Precision Arithmetic Units in Jacobi SVD Architectures,” School of Electrical Engineering, Cornell University, Ithacaa, NY, Technical Report EE-CEG-87-11, Mar. 1987.

    Google Scholar 

  13. M. D. Ercegovac, and T. Lang, “Implementation of Fast Angle Calculation and Rotation Using On-Line CORDIC,” in Proc. IEEE International Symposium on Circuits and Systems (ISCAS), June 1988, pp. 2,703–2,706.

    Google Scholar 

  14. M. D. Ercegovac, and T. Lang, “Redundant and On-Line CORDIC Application to Matrix Triangularization and SVD,” IEEE Trans. on Computers, Vol. 38, No. 6, pp. 725–740, June 1990.

    Article  Google Scholar 

  15. S. Freeman, and M. O'Donnell, “A Complex Arithmetic Digital Signal Processor Using Cordic Rotators,” in Proc. ICASSP-95, Vol. 5, pp. 3191–3194, May 1995.

    Google Scholar 

  16. G. L. Haviland, and A. A. Tuszynski, “A CORDIC Arithmetic Processor Chip,” IEEE Trans. on Computers, Vol. 29, No. 2, pp. 68–79, Feb. 1980.

    Google Scholar 

  17. S. F. Hsiao, and J. M. Delosme, “Householder CORDIC Algorithms,” IEEE Trans. Comput., Vol. 44, No. 8, pp. 990–1001, Aug. 1995.

    Article  Google Scholar 

  18. Y. H. Hu, “The Quantization Effects of the CORDIC Algorithm,” IEEE Trans. Signal Processing, Vol. 40, No. 4, pp. 834–844, April 1992.

    Article  Google Scholar 

  19. H. Y. Hu, “CORDIC-Based VLSI Architectures for Digital Signal Processing,” IEEE Signal Processing Magazine, pp. 16–35, July 1992.

    Google Scholar 

  20. D. D. Hwang, D. Fu, and A. N. Willson, Jr, “A 400-MHz Processor for the Conversion of Rectangular to Polar Coordinates in 0.25-µm CMOS,” IEEE J. Solid-State Circuits, Vol. 38, No. 10, pp. 1771–1775, Oct. 2003.

    Article  Google Scholar 

  21. I. Janiszewski, B. Hoppe, and H. Meuth, “Numerically Controlled Oscillators with Hybrid Function Generators,” IEEE Transactions on Ultrasonics, Ferroelectrics and Frequency Control, Vol. 49, pp. 995–1004, July 2002.

    Google Scholar 

  22. K. Kota, and J. R. Cavallaro, “Numerical Accuracy and Hardware Tradeoffs for CORDIC Arithmetic for Special-Purpose Processors,” IEEE Trans. Comput., Vol. 42, No. 7, pp. 769–779, July 1993.

    Article  Google Scholar 

  23. H. Kunemund, S. Soldner, S. Wohlleben, and T. Noll, “CORDIC Processor with Carry Save Architecture,” in Proc. ESSCIRC'90, Sep. 1990, pp.193–196.

    Google Scholar 

  24. A. A. de Lange, A. J. van der Hoeven, E. F. Deprettere, and J. Bu, “An Optimal Floating-Point Pipeline CMOS CORDIC Processor,” in Proc. IEEE International Symposium on Circuits and Systems (ISCAS), June 1988, pp. 2,043–2,047.

    Google Scholar 

  25. J. Lee, and T. Lang, “On-Line CORDIC for Generalized Singular Value Decomposition,” SPIE High Speed Computing II Vol 1058, pp.235–247, 1989.

    Google Scholar 

  26. J. Lee, and T. Lang, “Constant-Factor Redundant CORDIC for Angle Calculation and Rotation,” IEEE Trans. Comput., Vol. 41, No. 8, pp. 1016–1025, Aug. 1992.

    Article  Google Scholar 

  27. H. X. Lin, and H. J. Sips, “On-Line CORDIC Algorithms,” IEEE Trans. on Computers, Vol. 38, No. 8, pp. 1,038–1,052, Aug. 1990.

    Google Scholar 

  28. A. Madisetti, A. Kwentus, and A. N. Wilson, Jr., “A 100-MHz, 16-b, Direct Digital Frequency Synthesizer with a 100-dBc Spurious-Free Dynamic Range,” IEEE J. of Solid State Circuits, Vol. 34, No. 8, pp. 1034–1044, Jan. 1999.

    Article  Google Scholar 

  29. T. Noll, “Carry-Save Arithmetic for High-Speed Digital Signal Processing,” in Proc. IEEE International Symposium on Circuits and Systems (ISCAS), Vol. 2, May 1990, pp. 982–986.

    Article  Google Scholar 

  30. T. Noll, “Carry-Save Architectures for High-Speed Digital Signal Processing,” Journal of VLSI Signal Processing, Vol. 3, pp. 121–140, June 1991.

    Article  Google Scholar 

  31. S. Note, J. van Meerbergen, Catthoor, and H. de Man, “Automated Synthesis of a High Speed CORDIC Algorithm with the Cathedral-III Compilation System,” in Proc. IEEE International Symposium on Circuits and Systems (ISCAS), June 1988, pp. 581–584.

    Google Scholar 

  32. B. Parhami, “On the Implementation of Arithmetic Support Functions for Generalized Signed-Digit Number Systems,” IEEE Trans. on Computers, Vol. 42, No. 3, pp. 379–384, Mar. 1993.

    Article  Google Scholar 

  33. L. Philips, I. Bolsens, and H. D. Man, “A Programmable CDMA IF Transceiver ASIC for Wireless Communications,” in Proc. IEEE Custom Integrated Circuits Conf., 1995, pp. 307–310.

    Google Scholar 

  34. R. Sarmiento, and et al., “A CORDIC Processor for FFT Computation and Its Implementation Using Gallium Arsenide Technology,” IEEE Trans. on VLSI Systems, Vol. 6, No. 1, pp. 18–30, Mar. 1998.

    Article  Google Scholar 

  35. G. Schmidt, D. Timmermann, J. F. Bohme, and H. Hahn, “Parameter Optimization of the CORDIC Algorithm and Implementation in a CMOS Chip,” in Proc. European Signal Processing Conference (EUSIPCO), Sep. 1986, pp. 1,291–1,222.

    Google Scholar 

  36. Y. Song and B. Kim, “A 330-MHz 15-b Quadrature Digital Synthesizer/Mixer in 0.25 µm CMOS,” in Proc. 29th European Solid-State Circuits Conference, Estoril, Portugal, Sept. 2003, pp. 513–516.

    Google Scholar 

  37. N. Takagi, T. Asada, and S. A. Yajima, “Hardware Algorithm for Computing Sine and Cosine using Redundant Binary Representation,” Systems and Computers in Japan, Vol. 18, No. 9, pp. 1–9, 1987.

    MathSciNet  Google Scholar 

  38. N. Takagi, T. Asada, and S. A. Yajima, “Redundant CORDIC Methods with a Constant Scale Factor for a Sine and Cosine Computation,” IEEE Trans. on Computers, Vol. 40, No. 9, pp. 989–995, Sep. 1991.

    Article  Google Scholar 

  39. D. Timmermann, H. Hahn, and B. Hosticka, “Modified CORDIC Algorithm with Reduced Iterations,” Electronics Letters, Vol. 25, No. 15, pp. 950–951, July 1989.

    Google Scholar 

  40. D. Timmermann, H. Hahn, B. J. Hostica, and B. Rix, “A New Addition Scheme and Fast Scaling Factor Compensation Methods for CORDIC Algorithms,” INTEGRATION, the VLSI Journal, Vol. 11, pp. 85–100, 1991.

    Google Scholar 

  41. A. Torosyan, D. Fu, and A. N. Willson, Jr., “A 300-MHz Quadrature Direct Digital Synthesizer/Mixer in 0.25µm CMOS,” IEEE J. of Solid State Circuits, Vol. 38, No. 6, pp. 875–887, June 2003.

    Article  Google Scholar 

  42. J. E. Volder, “The CORDIC Trigonometric Computing Technique,” IRE Trans. on Electron. Comput., Vol. C-8, pp. 330–334, Sept. 1959.

    Google Scholar 

  43. J. S. Walther, “A Unified Algorithm for Elementary Functions,” in Proc. Spring Joint Computer Conference, May 1971, pp. 379–385.

    Google Scholar 

  44. S. Wang, V. Piuri, and E. E. Swartzlander, “Hybrid CORDIC Algorithms,” IEEE Trans. on Computers, Vol. 46, No. 11, pp. 1202–1207, Nov. 1997.

    Article  Google Scholar 

  45. H. Yoshimura, T. Nakanishi, and H. Yamauchi, “A 50 MHz CMOS Geometrical Mapping Processor,” IEEE Trans. on Circuits and Systems, Vol. 36, No. 10, pp. 1,360–1,363, 1989.

    Google Scholar 

Download references

Rights and permissions

Reprints and permissions

Copyright information

© 2005 Springer

About this chapter

Cite this chapter

(2005). 6. Cordic Algorithm. In: Digital Synthesizers and Transmitters for Software Radio. Springer, Boston, MA. https://doi.org/10.1007/1-4020-3195-5_6

Download citation

  • DOI: https://doi.org/10.1007/1-4020-3195-5_6

  • Publisher Name: Springer, Boston, MA

  • Print ISBN: 978-1-4020-3194-6

  • Online ISBN: 978-1-4020-3195-3

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics