Skip to main content

Energy Efficient Systems Using Resistive Memory Devices

  • Chapter
  • First Online:
Emerging Memory Technologies
  • 3332 Accesses

Abstract

Energy efficient systems use volatile memory (VM) for fast and low-voltage access and use nonvolatile memory (NVM) for power-off-mode data storage. However, memory is becoming a bottleneck for electronic systems attempting to achieve low energy consumption. The resistive memory (memristor) is a promising NVM for energy efficient computing because of its fast write speed and low-power operations. This chapter provides an overview of the circuit design technologies and applications of resistive memory devices for energy efficient systems, including resistive RAM (ReRAM), nonvolatile logic, and nonvolatile SRAM.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 84.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 119.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 109.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. Sasagawa, R., Fukushi, I., Hamaminato, M., & Kawashima, S. (1999). High speed cascode sensing scheme for 1.0 V contact-programming Mask ROM (pp. 95–96). Symposium on VLSI Circuits Digest of Technical Papers.

    Google Scholar 

  2. Chang, M.-F., Chiou, L.-Y., & Wen, K.-A. (2006). A full code-pattern coverage high-speed embedded ROM using dynamic virtual guardian technique. IEEE Journal of Solid-State Circuits, 41(2), 496–506.

    Article  Google Scholar 

  3. Chang, M.-F., & Yang, S.-M. (2009). Analysis and reduction of supply noise fluctuations induced by embedded ROM. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 17(6), 758–769.

    Article  Google Scholar 

  4. Seok, M., Hanson, S., Seo, J.-S., Sylvester, D., & Blaauw, D. (2008). Robust ultralow voltage ROM design (pp. 423–426). IEEE CICC Digest of Technical Papers.

    Google Scholar 

  5. Chang, M.-F., et al. (2010, Feb) A 0.29V embedded NAND-ROM in 90nm CMOS for ultra-low-voltage applications (pp. 266–267). IEEE International Solid-State Circuits Conference (ISSCC) Digest of Technical Papers.

    Google Scholar 

  6. Chang, M.-F., et al. (2010, November). Embedded non-volatile memory circuit design technologies for mobile low-voltage SoC and 3D-IC (p. 13). 10th IEEE International Solid-State and Integrated Circuit Technology (ICSICT).

    Google Scholar 

  7. Chang, M.-F., et al. (2011, January). Circuit design challenges in embedded memory and resistive RAM (RRAM) for mobile SoC and 3D-IC (pp. 197–203). In Proceedings of 2011 IEEE Asia South Pacific Design Automation Conference (ASP-DAC).

    Google Scholar 

  8. Chang, M.-F., et al. (2011, September). Challenges and trends of resistive memory (Memristor) based circuits for 3D-IC applications (pp. 1053–1054). 2011 Symposium on Solid State Devices and Materials (SSDM).

    Google Scholar 

  9. Chang, M.-F., Chang, S.-W., Chou, P.-W., & Wu, W.-C. (2011). A 130 mV SRAM with expanded write and read margins for subthreshold applications. IEEE Journal of Solid-State Circuits, 46(2), 520–529.

    Article  Google Scholar 

  10. Wu, J.-J., et al. (2011). A large \(\sigma \)VTH/VDD tolerant ZigZag 8T SRAM with area-efficient decoupled differential sensing and fast write-back scheme. IEEE Journal of Solid-State Circuits, 46(4), 815–827.

    Article  Google Scholar 

  11. Chang, M.-F., et al. (2011, October). Challenges and trends in low-power 3D die-stacked IC designs using RAM, memristor logic, and resistive memory (ReRAM) (pp. 327–330). The IEEE 9th International Conference on ASIC (ASICON).

    Google Scholar 

  12. Chiu, P.-F., Chang, M.-F., et al. (2010, June). A low store energy, low VDDmin, nonvolatile 8T2R SRAM with 3D stacked RRAM devices for low power mobile applications (pp. 229–230). Symposium on VLSI Circuits Digest of Technical Papers.

    Google Scholar 

  13. Ohno, H., et al. (2010). Magnetic tunnel junction for nonvolatile CMOS logic (pp. 9.4.1–9.4.4). IEEE International Electron Devices Meeting (IEDM).

    Google Scholar 

  14. Yoon, S.-M., et al. (2009). Phase-change-driven programmable switch for nonvolatile logic applications. IEEE Electron Device Letters, 30(4), 371–373.

    Article  Google Scholar 

  15. Burd, T. D., et al. (2000). A dynamic voltage scaled microprocessor system. IEEE Journal of Solid-State Circuits, 35(11), 1571–1580.

    Article  Google Scholar 

  16. Nowka, K. J., et al. (2002). A 32-bit PowerPC system-on-a-chip with support for dynamic voltage scaling and dynamic frequency scaling. IEEE Journal of Solid-State Circuits, 37(11), 1441–1447.

    Article  Google Scholar 

  17. Ultra-low-voltage circuit design forum. IEEE International Solid-State Circuits Conference (ISSCC) (2009, Feb).

    Google Scholar 

  18. Zhai, B., Blaauw, D., Sylvester, D., & Hanson, S. (2007). A sub-200mV 6T SRAM in 0.13\(\mu \)m CMOS (pp. 332–333). IEEE International Solid-State Circuits Conference (ISSCC) Digest of Technical Papers.

    Google Scholar 

  19. Calhoun, B. H., & Chandrakasan, A. P. (2006). A 256kb subthreshold SRAM in 65nm CMOS (pp. 2592–2601). IEEE International Solid-State Circuits Conference (ISSCC) Digest of Technical Papers.

    Google Scholar 

  20. Chua, L. O. (1971). Memristor-the missing circuit element. IEEE Transactions on Circuit Theory, 18(5), 507–519.

    Article  Google Scholar 

  21. Chen, A., et al. (2005). Non-volatile resistive switching for advanced memory applications (pp. 746–749). IEDM.

    Google Scholar 

  22. Tseng, Y. H., et al. (2010). Electron trapping effect on the switching behavior of contact RRAM devices through random telegraph noise analysis (pp. 28.5.1–28.5.4). IEDM.

    Google Scholar 

  23. Tsunoda, K., et al. (2007). Low power and high speed switching of Ti-doped NiO ReRAM under the unipolar voltage source of less than 3V (pp. 767–770). IEDM.

    Google Scholar 

  24. Strukov, Dmitri B., et al. (2008). The missing memristor found. Nature, 453, 80–83.

    Article  Google Scholar 

  25. Lee, H. Y., et al. (2008). Low power and high speed bipolar switching with a thin reactive Ti buffer layer in robust \({\text{ HfO }}_{2}\) based RRAM (pp. 1–4). IEDM.

    Google Scholar 

  26. Wei, Z., et al. (2008). Highly reliable TaOx ReRAM and direct evidence of redox reaction mechanism (pp. 293–296). IEDM.

    Google Scholar 

  27. Chien, W. C., et al. (2010). A forming-free Wox resistive memory using a novel self-aligned field enhancement feature with excellent reliability and scalability (pp. 440–443). IEDM.

    Google Scholar 

  28. Kawabata, S., et al. (2010). CoOx-RRAM memory cell technology using recess structure for 128Kbits memory array (pp. 1–2). In Proceedings of the International Memory Workshop (IMW).

    Google Scholar 

  29. Kim, M. J., et al. (2010). Low power operating bipolar TMO ReRAM for sub 10 nm Era (pp. 444–447). IEDM.

    Google Scholar 

  30. Kim, W., et al. (2011). Forming-free nitrogen-doped AlOx RRAM with sub- \(\mu \)A programming current (pp. 22–23). Symposium on VLSI Technology.

    Google Scholar 

  31. Kim, Y.-B., et al. (2011). Bi-layered RRAM with unlimited endurance and extremely uniform switching (pp. 52–53). Symposium on VLSI Circuits Digest of Technical Papers.

    Google Scholar 

  32. Lee, H., et al. (2010). Evidence and solution of over-RESET problem for HfOx based resistive memory with sub-ns switching speed and high endurance (pp. 19.7.1–19.7.4). IEDM.

    Google Scholar 

  33. Lee, H. Y., et al. (2008). Low power and high speed bipolar switching with a thin reactive Ti buffer layer in robust \({\text{ HfO }}_{2}\) based RRAM (pp. 297–300). In International Electron Devices Meeting (IEDM) Technical Digest Papers.

    Google Scholar 

  34. Chen, Y. S., et al. (2009). Highly scalable hafnium oxide memory with improvements of resistive distribution and read disturb immunity (pp. 105–108). In International Electron Devices Meeting (IEDM) Technical Digest Papers.

    Google Scholar 

  35. Lee, H. Y., et al. (2010). Evidence and solution of Over-RESET problem for HfOx based resistive memory with sub-ns switching speed and high endurance (pp. 460–463). In International Electron Devices Meeting (IEDM) Technical Digest Papers.

    Google Scholar 

  36. Lee, H. Y., et al. (2010). Low-power and nanosecond switching in robust Hafnium Oxide resistive memory with a thin Ti cap. IEEE Electron Device Letters, 31(1), 44–46.

    Article  Google Scholar 

  37. Fliesler, M., et al. (2008). A 15ns 4Mb NVSRAM in 0.13u SONOS Technology (pp. 83–86). Non-Volatile Semiconductor, Memory Workshop (NVSMW).

    Google Scholar 

  38. Sakimura, N., et al. (2009). Nonvolatile magnetic flip-flop for standby-power-free SoCs. IEEE Journal of Solid-State Circuits, 44(8), 2244–2250.

    Article  Google Scholar 

  39. Miwa, T., et al. (2001). NV-SRAM: a nonvolatile SRAM with backup ferroelectric capacitors. IEEE Journal of Solid-State Circuits, 36(3), 522–527.

    Article  Google Scholar 

  40. Takata, M., et al. (2006). Nonvolatile SRAM based on Phase Change (pp. 95–96). Non-Volatile Semiconductor, Memory Workshop (NVSMW).

    Google Scholar 

  41. Wang, W., et al. (2006). Nonvolatile SRAM cell (pp. 1–4). International Electron Device Meeting (IEDM).

    Google Scholar 

  42. Chang, M.-F., et al. (2012). Endurance-aware circuit designs of nonvolatile logic and nonvolatile SRAM using resistive memory (Memristor) device (pp. 329–334). In 2012 IEEE Asia South Pacific Design Automation Conference (ASP-DAC).

    Google Scholar 

  43. Chiu, P.-F., Chang, M.-F., Wu, C.-W., Chuang, C.-H., Sheu, S.-S., Chen, Y.-S., et al. (2012). Low store energy, low VDDmin, 8T2R nonvolatile latch and SRAM with vertical-stacked resistive memory (Memristor) devices for low power mobile applications. IEEE Journal of Solid-State Circuits, 47(6), 1483–1496.

    Article  Google Scholar 

  44. Seevinck, E., List, F. J., & Lohstroh, J. (1987). Static-noise margin analysis of MOS SRAM cells. IEEE Journal Solid-State Circuits, 22(5), 748–754.

    Article  Google Scholar 

  45. Agarwal, A., Paul, B. C., Mukhopadhyay, S., & Roy, K. (2005). Process variation in embedded memories: failure analysis and variation aware architecture. IEEE Journal of Solid-State Circuits, 40(9), 1804–1814.

    Article  Google Scholar 

  46. Calhoun, B. H., & Chandrakasan, A. P. (2006). Static noise margin variation for sub-threshold SRAM in 65 nm CMOS. IEEE Journal of Solid-State Circuits, 41(7), 1673–1679.

    Article  Google Scholar 

  47. Yamaoka, M., et al. (2005). Low-power embedded SRAM modules with expanded margins for writing (pp. 480–611). In IEEE International Solid-State Circuits Conference (ISSCC) Digest Technical Papers.

    Google Scholar 

  48. Zhang, K., et al. (2006). A 3-GHz 70-Mb SRAM in 65-nm CMOS technology with integrated column-based dynamic power supply. IEEE Journal of Solid-State Circuits, 41(1), 146–151.

    Article  Google Scholar 

  49. Pilo, H., et al. (2006). An SRAM design in 65 and 45 nm technology nodes featuring read and write-assist circuits to expand operating voltage (pp. 15–16). Symposium on VLSI Circuits Digest Technical Papers.

    Google Scholar 

  50. Nii, K., et al. (2008). A 45 nm bulk CMOS embedded SRAM with improved immunity against process and temperature variations. IEEE Journal of Solid-State Circuits, 43(1), 180–191.

    Article  Google Scholar 

  51. Ohbayashi, S., et al. (2007). A 65 nm SoC embedded 6T-SRAM designed for manufacturability with read and write operation stabilizing circuits. IEEE Journal of Solid-State Circuits, 42(4), 820–829.

    Article  Google Scholar 

  52. Sohn, K., et al. (2008). A 100 nm double-stacked 500Mhz 72Mb separate-I/O synchronous SRAM with automatic cell-bias scheme and adaptive block redundancy (pp. 386–622). In IEEE International Solid-State Circuits Conference (ISSCC) Digest of Technical Papers.

    Google Scholar 

  53. Hirabayashi, O., et al. (2009). A process-variation-tolerant dual-power-supply SRAM with 0.179\(\mu \)m2 cell in 40 nm CMOS using level-programmable wordline driver (pp. 458–459). In IEEE International Solid-State Circuits Conference (ISSCC) Digest of Technical Papers.

    Google Scholar 

  54. Bhavnagarwala, A. et al. (2007). A sub-600 mV, fluctuation tolerant 65nm CMOS SRAM array with dynamic cell biasing (pp. 78–79). Symposium on VLSI Circuits Digest of Technical Papers.

    Google Scholar 

  55. Suzuki, T., et al. (2008). A stable 2-port SRAM cell design against simultaneously read/write-disturbed accesses. IEEE Journal of Solid-State Circuits, 43(9), 2109–2119.

    Article  Google Scholar 

  56. Verma, N., & Chandrakasan, A. P. (2007). A 65 nm 8T subthreshold SRAM employing sense-amplifier redundancy (pp. 328–606). ISSCC Digest of Technical Papers.

    Google Scholar 

  57. Chang, I. J., Kim, J. J., Park, S. P., & Roy, K. (2008). A 32kb 10T subthreshold SRAM array with bit-interleaving and differential read scheme in 90 nm CMOS (pp. 388–622). In IEEE International Solid-State Circuits Conference (ISSCC) Digest of Technical Papers.

    Google Scholar 

  58. Chen, Y. H., et al. (2008). A \(0.6\, V 45\, nm\) adaptive dual-rail SRAM compiler circuit design for lower \(VDD_min\) VLSIs (pp. 210–211). Symposium on VLSI Circuits Digest of Technical Papers.

    Google Scholar 

  59. Khellah, M., et al., (2006). A 4.2GHz 0.3 mm 2 256Kb Dual-VCC SRAM building block in 65 nm CMOS (pp. 2572–2581). In IEEE International Solid-State Circuits Conference (ISSCC) Digest of Technical Papers.

    Google Scholar 

  60. Davis, J., et al. (2006). A 5.6GHz 64KB dual-read data cache for the POWER6TM processor (pp. 2564–2571). In IEEE International Solid-State Circuits Conference (ISSCC) Digest of Technical Papers.

    Google Scholar 

  61. Pille, J., et al. (2007). Implementation of the CELL broadband engine in a 65 nm SOI technology featuring dual-supply SRAM arrays supporting 6 GHz at 1.3 V (pp. 322–606). In IEEE International Solid-State Circuits Conference (ISSCC) Digest of Technical Papers.

    Google Scholar 

  62. Yamaoka, M., Osada, K., & Ishibashi, K. (2004). 0.4 V logic-library-friendly SRAM array using rectangular-diffusion cell and delta-boosted-array voltage scheme. IEEE Journal of Solid-State Circuits, 39(6), 934–940.

    Article  Google Scholar 

  63. Lai, F.-S., & Lee, C.-F. (2007). On-chip voltage down converter to improve SRAM read/write margin and static power for sub-nano CMOS technology. IEEE Journal of Solid-State Circuits, 42(9), 2061–2070.

    Article  Google Scholar 

  64. Shibata, N., et al. (2006). A 0.5 V 25 MHz 1 mW 256-kb MTCMOS/SOI SRAM for solar-power-operated portable personal digital equipment–sure write operation by using step-down negatively overdriven bitline scheme. IEEE Journal of Solid-State Circuits, 41(3), 728–742.

    Article  Google Scholar 

  65. Khellah, M., et al. (2006). Wordline and bitline pulsing schemes for improving SRAM cell stability in low-Vcc 65 nm CMOS designs (pp. 9–10). Symposium on VLSI Circuits Digest of Technical Papers.

    Google Scholar 

  66. Kushida, K., et al. (2009). A 0.7 V single-supply SRAM with 0.495umP2P cell in 65nm Technology utilizing self-write-back sense amplifier and cascaded bit line scheme. IEEE Journal of Solid-State Circuits, 44(4), 1192–1198.

    Article  Google Scholar 

  67. Takeda, K., et al. (2006). A read-static-noise-margin-free SRAM cell for low-VDD and high-speed applications. IEEE Journal of Solid-State Circuits, 41(1), 113–121.

    Article  Google Scholar 

  68. Chang, L., et al. (2005). Stable SRAM cell design for the 32 nm node and beyond (pp. 128–129). Symposium on VLSI Technology Digest of Technical Papers.

    Google Scholar 

  69. Frustaci, F., Corsonello, P., Perri, S., & Cocorullo, G. (2006). Techniques for leakage energy reduction in deep submicrometer cache memories. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 14(11), 1238–1249.

    Article  Google Scholar 

  70. Ishikura, S., et al. (2007). Symposium on VLSI Circuits Digest of Technical Papers (pp. 254–255).

    Google Scholar 

  71. Joshi, R., et al. (2007). 6.6+GHz low Vmin, read and half select disturb-free 1.2Mb SRAM (pp. 250–251). Symposium on VLSI Circuits Digest of Technical Papers.

    Google Scholar 

  72. Chang, L., et al. (2008). An 8T-SRAM for variability tolerance and low-voltage operation in high-performance caches. IEEE Journal of Solid-State Circuits, 43(4), 956–963.

    Article  Google Scholar 

  73. Kim, T.-H., Liu, J., & Kim, C. H. (2009). A voltage scalable 0.26 V, 64 kb 8T SRAM with Vmin lowering techniques and deep sleep mode. IEEE Journal of Solid-State Circuits, 44(6), 1785–1795.

    Article  MathSciNet  Google Scholar 

  74. Liu, Z., & Kursun, V. (2008). Characterization of a novel nine-transistor SRAM Cell. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 16(4), 488–492.

    Article  Google Scholar 

  75. Verkila, S. A., Bondada, S. K., & Amrutur, B. S. (2008). A 100 MHz to 1 GHz, 0.35-1.5 V Supply \(256\times 64\) SRAM block using symmetrized 9T SRAM cell with controlled read (pp. 560–565). In Proceedings of Conference on, VLSI Design.

    Google Scholar 

  76. Calhoun, B. H., & Chandrakasan, A. P. (2006). A 256kb Sub-threshold SRAM in 65 nm CMOS (pp. 2592–2601). ISSCC Digest of Technical Papers.

    Google Scholar 

  77. Noguchi, H., et al. (2007). A 10T non-precharge two-port SRAM for 74% power reduction in video processing (pp. 107–112). In IEEE Computer Society Annual Symposium VLSI Circuits (ISVLSI) Digest of Technical Papers.

    Google Scholar 

  78. Kim, T.-H., Liu, J., Keane, J., & Kim, C. H. (2007). A high-density subthreshold SRAM with data-independent bitline leakage and virtual ground replica scheme (pp. 330–606). In IEEE International Solid-State Circuits Conference (ISSCC) Digest of Technical Papers.

    Google Scholar 

  79. Kulkarni, J. P., Kim, K., & Roy, K. (2007). A 160 mV robust Schmitt trigger based subthreshold SRAM. IEEE Journal of Solid-State Circuits, 42(10), 2303–2313.

    Google Scholar 

  80. Chen, J., Clark, L. T., & Chen, T.-H. (2006). An ultra-low-power memory with a subthreshold power supply voltage. IEEE Journal of Solid-State Circuits, 41(10), 2344–2353.

    Article  Google Scholar 

  81. Kawasumi, A., et al. (2008). A single-power-supply 0.7 V 1 GHz 45 nm SRAM with an asymmetrical unit-ß-ratio memory Cell (pp. 382–622). ISSCC Digest of Technical Papers.

    Google Scholar 

  82. Wang, W., et al. (2006). Nonvolatile SRAM Cell (pp. 27–30). In International Electron Devices Meeting (IEDM) Technical Digest Papers.

    Google Scholar 

  83. Chen, L.-F. (2011). A 7T SRAM circuit design for low voltage applications. M.S. thesis, Institute of Electronics Engineering, National Tsing Hua Univ., Hsinchu, Taiwan.

    Google Scholar 

  84. Yamamoto, S., et al. (2009). Nonvolatile SRAM (NV-SRAM) using functional MOSFET merged with resistive switching devices (pp. 531–534). In IEEE Custom Integrated Circuits Conference (CICC) Technical Digest Papers.

    Google Scholar 

  85. Sheu, S.-S., et al. (2009). A 5ns fast write multi-level non-volatile 1 K bits RRAM memory with advance write scheme (pp. 82–83). IEEE Symposium VLSI Circuits Digest of Technical Papers.

    Google Scholar 

  86. Sheu, S.-S., & Chang, M.F., et al. (2011). A 4Mb embedded SLC resistive-RAM macro with 7.2ns read-write random-access time and 160ns MLC-access capability (p. 200). IEEE International Solid-State Circuits Conference ( ISSCC) Digest of Technical Papers.

    Google Scholar 

  87. Chang, M.-F., et al. (2012). A 0.5 V 4Mb logic-process compatible embedded resistive RAM (ReRAM) in 65 nm CMOS using low-voltage current-mode sensing scheme with 45ns random read time (pp. 434–436). IEEE International Solid-State Circuits Conference ( ISSCC) Digest of Technical Papers.

    Google Scholar 

  88. Xue, X.Y., Jian, W.X., Yang, J.G., Xiao, F.J., Chen, G., Xu, X.L., Xie, Y.F., Lin, Y.Y., Huang, R., Zhou, Q.T., & Wu, J.G. (2012). Fudan University, A 0.13\(\mu \)m 8Mb Logic Based CuxSiyO Resistive Memory with Self-Adaptive Yield Enhancement and Operation Power Reduction”, 2012 Symposium on VLSI Circuits, June 13, CIRCUITS SESSION 6 - TAPA I -6.1.

    Google Scholar 

  89. Kawahara, A., et al. (2012). Panasonic, Moriguchi, Japan, An 8Mb Multi-Layered Cross-Point ReRAM Macro with 443MB/s Write Throughput (pp. 432–434). IEEE International Solid-State Circuits Conference ( ISSCC) Digest of Technical Papers.

    Google Scholar 

  90. Chevallier, C.J., et al. (2010). A 0.13\(\mu \)m 64Mb multi-layered conductive metal-oxide memory (pp. 260–261). IEEE International Solid-State Circuits Conference ( ISSCC) Digest of Technical Papers.

    Google Scholar 

  91. Chang, M.-F., et al. (2007). Experiments on reducing standby current for compilable SRAM using hidden clustered source line control (pp. 1038–1041). In Proceedings of IEEE International Conference of ASIC.

    Google Scholar 

  92. Cheng, C. H., et al. (2010). Novel ultra-low power RRAM with good endurance and retention (pp. 85–86). In IEEE Symposium VLSI Technology Digest of Technical Papers.

    Google Scholar 

Download references

Acknowledgments

The authors would like to thank ITRI, NTHU, and TSMC for their support.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Meng-Fan Chang .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2014 Springer Science+Business Media New York

About this chapter

Cite this chapter

Chang, MF., Chiu, PF. (2014). Energy Efficient Systems Using Resistive Memory Devices. In: Xie, Y. (eds) Emerging Memory Technologies. Springer, New York, NY. https://doi.org/10.1007/978-1-4419-9551-3_4

Download citation

  • DOI: https://doi.org/10.1007/978-1-4419-9551-3_4

  • Published:

  • Publisher Name: Springer, New York, NY

  • Print ISBN: 978-1-4419-9550-6

  • Online ISBN: 978-1-4419-9551-3

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics