Skip to main content

Automated Generation of Directed Tests

  • Chapter
  • First Online:
System-Level Validation
  • 1070 Accesses

Abstract

Due to the increasing complexity coupled with limited time-to-market, functional validation is becoming a major bottleneck in SoC design. Directed testing is recognized as a promising simulation-based validation method, since only a small set of directed tests is required to achieve the desired coverage. However, currently most direct test generation needs human intervention, which is time-consuming and error-prone. Based on the property falsification, this chapter presents a model checking based approach, which can automatically generate directed tests from the SoC models and specifications.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 84.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 119.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 109.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Notes

  1. 1.

    A safety property asserts that a specified scenario can never happen. A false safety property is a safety property which can be proved to be false.

  2. 2.

    In conventional LTL formulas, the sign “\(\lnot \)” denotes the negation. For the real property checking, both notations “\(\sim \)” and “\(!\)” are used to indicate the negation of properties and expressions, respectively.

References

  1. Duran JW, Ntafos SC (1999) An evaluation of random testing. IEEE Trans Softw Eng 10(4):438–444

    Article  Google Scholar 

  2. Fine S, Ziv A (2003) Coverage directed test generation for functional verification using Bayesian networks. In: Proceedings of design automation conference (DAC), pp 286–291

    Google Scholar 

  3. Clarke E, Grumberg O, Peled D (1999) Model checking. MIT Press, Cambridge

    Google Scholar 

  4. Bryant R (1986) Graph-based algorithms for boolean function manipulation. IEEE Comput Soc 35(8):677–691

    Article  MATH  Google Scholar 

  5. Prasad M, Biere A, Gupta A (2005) A survey of recent advances in SAT-based formal verification. Int J Softw Tools Technol Transf 7(2):156–173

    Article  Google Scholar 

  6. Biere A, Cimatti A, Clarke E. M., Zhu Y (1999) Symbolic model checking without BDDs. In: Proceedings of tools and algorithms for the construction and analysis of systems (TACAS) pp 193–207

    Google Scholar 

  7. Biere A, Cimatti A, Clarke EM (2003) Bounded model checking. Adv Comput 58(3):117–148

    Google Scholar 

  8. Wagner I, Bertacco V, Austin T (2005) StressTest: An automatic approach to test generation via activity monitors. In: Proceedings of design automation conference (DAC), pp 783–788

    Google Scholar 

  9. Adir A, Almog E, Fournier L, Marcus E, Rimon M, Vinov M, Ziv A (2004) Genesys-pro: Innovations in test program generation for functional processor verification. IEEE Des Test 21(2):84–93

    Article  Google Scholar 

  10. Adir A, Bin E, Peled O, Ziv A (2003) A test program generator for micro-architecture flow verification. In: Proceedings of high-level design validation and test workshop (HLDVT), pp 23–28

    Google Scholar 

  11. Aharon A, Goodman D, Levinger M, Lichtenstein Y, Malka Y, Metzger C, Molcho M, Shurek G (1995) Test program generation for functional verification of PowerPC processors in IBM. In: Proceedings of design automation conference (DAC), pp 279–285

    Google Scholar 

  12. Koo H, Mishra P, Bhadra J, Abadir M (2006) Directed micro-architectural test generation for an industrial processor: A case study. In: Proceedings of microprocessor test and verification (MTV), pp 33–36

    Google Scholar 

  13. Ur S, Yadin Y (1999) Micro architecture coverage directed generation of test programs. In: Proceedings of design automation conference (DAC), pp 175–180

    Google Scholar 

  14. Gluska A (2006) Practical methods in coverage-oriented verification of the Merom microprocessor. In: Proceedings of design automation conference (DAC), pp 332–337

    Google Scholar 

  15. Ammann PE, Black PE, Majurski W (1998) Using model checking to generate tests from specifications. In: Proceedings of international conference on formal engineering methods (ICFEM), pp 46–55

    Google Scholar 

  16. Marques-Silva J, Sakallah K (1999) Grasp: a search algorithm for propositional satisfiability. IEEE Trans Comput 48(5):506–521

    Article  MathSciNet  Google Scholar 

  17. Goldberg E, Novikov Y (2002) BerkMin: a fast and robust SAT-solver. In: Proceedings of design automation and test in Europe (DATE), pp 142–149

    Google Scholar 

  18. Moskewicz M, Madigan C, Zhao Y, Zhang L, Malik S (2001) Chaff: Engineering an efficient SAT solver. In: Proceedings of Design Automation Conference (DAC), pp 530–535

    Google Scholar 

  19. Amla N, Du X, Kuehlmann A, Kurshan R, McMillan K (2005) An analysis of SAT-based model checking techniques in an industrial environment. In: Proceedings of correct hardware design and verification methods (CHARME), pp 254–268

    Google Scholar 

  20. Copty F, Fix L, Fraer R, Giunchiglia E, Kamhi G, Tacchella A, Vardi M (2001) Benefits of bounded model checking at an industrial setting. In: Proceedings of computer aided verification (CAV), pp 436–453

    Google Scholar 

  21. Zhu H, Hall P, May J (1997) Software Unit Test Coverage and Adequacy. ACM Comput Surv 29(4):366–427

    Article  Google Scholar 

  22. Ferrandi F, Fummi F, Gerli L, Sciuto D (1999) Symbolic functional vector generation for VHDL specifications. In: Proceedings of design, automation and test in Europe (DATE), pp 442–446

    Google Scholar 

  23. Cadence SMV. http://www-cad.eecs.berkeley.edu/kenmcmil/smv

  24. Koo HM, Mishra P (2009) Functional test generation using design and property decomposition techniques. ACM Trans Embed Comput Syst (TECS) 8(4):32:1–32:33

    Google Scholar 

  25. Mishra P, Dutt N (2004) Graph-based functional test program generation for pipelined processors. In: Proceedings of design automation and test in Europe (DATE), pp 182–187

    Google Scholar 

  26. Mishra P, Dutt N (2005) Functional coverage driven test generation for validation of pipelined processors. In: Proceedings of design automation and test in Europe (DATE), pp 678–683

    Google Scholar 

  27. Koo HM, Mishra P (2006) Test generation using (SAT)-based bounded model checking for validation of pipelined processors. In: Proceedings of ACM great lakes symposium on VLSI (GSLVLSI), pp 362–365

    Google Scholar 

  28. Mishra P, Koo HM, Huang Z (2005) Language-driven validation of pipelined processors using satisfiability solvers. In: Proceedings of international workshop on microprocessor test and verification (MTV)), pp 119–126

    Google Scholar 

  29. Chen M, Qiu X, Li X (2006) Automatic test case generation for UML activity diagrams. In: Proceedings of international workshop on automation on software test, pp 2–8

    Google Scholar 

  30. Chen M, Qiu X, Xu W, Wang L, Zhao J, Li X (2009) UML activity diagram based automatic test case generation for java programs. Comput J 52(5):545–556

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Mingsong Chen .

Rights and permissions

Reprints and permissions

Copyright information

© 2013 Springer Science+Business Media New York

About this chapter

Cite this chapter

Chen, M., Qin, X., Koo, HM., Mishra, P. (2013). Automated Generation of Directed Tests. In: System-Level Validation. Springer, New York, NY. https://doi.org/10.1007/978-1-4614-1359-2_3

Download citation

  • DOI: https://doi.org/10.1007/978-1-4614-1359-2_3

  • Published:

  • Publisher Name: Springer, New York, NY

  • Print ISBN: 978-1-4614-1358-5

  • Online ISBN: 978-1-4614-1359-2

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics