Skip to main content

Disrupting Low-Write-Energy vs. Fast-Read Dilemma in RRAM to Enable L1 Instruction Cache

  • Conference paper
  • First Online:
VLSI Design and Test (VDAT 2022)

Abstract

RRAM has emerged as a non-volatile and denser alternative to SRAM memory. Various RRAMs show a range of write energies related to write currents. The write current magnitude is proportional to the read current magnitude, which is inversely related to the read latency. Hence, lower write energy leads to higher read latency - producing a fundamental trade-off. This trade-off leads to a fast-read vs. low write power dilemma, hindering the application of RRAM to lower level cache. In this work, we propose a modified bitcell design to overcome this and analyze its impact on L1 instruction cache replacement. We propose a modification in conventional one selection transistor (1T) and RRAM (1R) based 1T1R cell by adding another transistor (i.e. 2T1R cell) to drive high current for fast read irrespective of the RRAM current magnitude. We demonstrate that the read latency vs. write energy trade-off is mitigated using circuit simulations. The impact of the 2T1R bit cell for a fast read and slow write is compared with SRAM and 1T1R scheme for L1 cache replacement. We report an energy-delay product (EDP) reduction of 82% for high performance and 53% for embedded architecture with SRAM comparable throughput. Thus, the fast read capability establishes the potential of RRAM as a lower-level cache substitute for both high performance and embedded applications.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 84.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 109.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. Benchmarks, s. p. e. c.: Standard performance evaluation corporation (2000)

    Google Scholar 

  2. https://web.stanford.edu/bartolo/assets/nvm-cache.pdf

  3. https://www.7-cpu.com/cpu/haswell.html

  4. https://www.7-cpu.com/cpu/krait.html

  5. Binkert, N., et al.: The gem5 simulator. ACM SIGARCH Comput. Archit. News 39(2), 1–7 (2011)

    Article  Google Scholar 

  6. Catanzaro, M., Kudithipudi, D.: Reconfigurable RRAM for LUT logic mapping: a case study for reliability enhancement. In: 2012 IEEE International SOC Conference, pp. 94–99. IEEE (2012)

    Google Scholar 

  7. Chang, M.F., et al.: 17.5 a 3T1R nonvolatile TCAM using MLC RaRAM with sub-1ns search time. In: 2015 IEEE International Solid-State Circuits Conference-(ISSCC) Digest of Technical Papers, pp. 1–3. IEEE (2015)

    Google Scholar 

  8. Chang, M.F., et al.: 19.4 embedded 1mb ReRAM in 28nm CMOS with 0.27-to-1v read using swing-sample-and-couple sense amplifier and self-boost-write-termination scheme. In: 2014 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC), pp. 332–333. IEEE (2014)

    Google Scholar 

  9. Chen, P.Y., Yu, S.: Compact modeling of RRAM devices and its applications in 1T1R and 1S1R array design. IEEE Trans. Electron Devices 62(12), 4022–4028 (2015)

    Article  MathSciNet  Google Scholar 

  10. Cheng, C.H., Chin, A., Yeh, F.: Ultralow switching energy nigeoxhfontan RRAM. IEEE Electron Device Lett. 32(3), 366–368 (2011)

    Article  Google Scholar 

  11. Govoreanu, B., et al.: 10\(\times \) 10nm 2 Hf/HfO x crossbar resistive RAM with excellent performance, reliability and low-energy operation. In: 2011 International Electron Devices Meeting, pp. 31–6. IEEE (2011)

    Google Scholar 

  12. Guthaus, M.R., Ringenberg, J.S., Ernst, D., Austin, T.M., Mudge, T., Brown, R.B.: MiBench: a free, commercially representative embedded benchmark suite. In: Proceedings of the fourth annual IEEE international workshop on workload characterization. WWC-4 (Cat. No. 01EX538). pp. 3–14. IEEE (2001)

    Google Scholar 

  13. Guthaus, M.R., Stine, J.E., Ataei, S., Chen, B., Wu, B., Sarwar, M.: OpenRAM: an open-source memory compiler. In: 2016 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp. 1–6. IEEE (2016)

    Google Scholar 

  14. Hsieh, M.C., et al.: Ultra high density 3D via RRAM in pure 28nm CMOS process. In: 2013 IEEE International Electron Devices Meeting, pp. 10–3. IEEE (2013)

    Google Scholar 

  15. Huang, J.J., Tseng, Y.M., Luo, W.C., Hsu, C.W., Hou, T.H.: One selector-one resistor (1S1R) crossbar array for high-density flexible memory applications. In: 2011 International Electron Devices Meeting, pp. 31–7. IEEE (2011)

    Google Scholar 

  16. Ielmini, D.: Resistive switching memories based on metal oxides: mechanisms, reliability and scaling. Semicond. Sci. Technol. 31(6), 063002 (2016)

    Article  Google Scholar 

  17. Jokar, M.R., Arjomand, M., Sarbazi-Azad, H.: Sequoia: a high-endurance NVM-based cache architecture. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 24(3), 954–967 (2015)

    Google Scholar 

  18. Jung, M., Shalf, J., Kandemir, M.: Design of a large-scale storage-class RRAM system. In: Proceedings of the 27th International ACM Conference on International Conference on Supercomputing, pp. 103–114 (2013)

    Google Scholar 

  19. Kawahara, A., et al.: An 8 mb multi-layered cross-point ReRAM macro with 443 mb/s write throughput. IEEE J. Solid-State Circuits 48(1), 178–185 (2012)

    Article  Google Scholar 

  20. Kotra, J.B., Arjomand, M., Guttman, D., Kandemir, M.T., Das, C.R.: Re-NUCA: a practical NUCA architecture for ReRAM based last-level caches. In: 2016 IEEE International Parallel and Distributed Processing Symposium (IPDPS), pp. 576–585. IEEE (2016)

    Google Scholar 

  21. Kuhn, K., et al.: Managing process variation in Intel’s 45nm CMOS technology. Intel Technol. J. 12(2) (2008)

    Google Scholar 

  22. Lashkare, S., Chouhan, S., Chavan, T., Bhat, A., Kumbhare, P., Ganguly, U.: PCMO RRAM for integrate-and-fire neuron in spiking neural networks. IEEE Electron Device Lett. 39(4), 484–487 (2018)

    Article  Google Scholar 

  23. Lee, H., et al.: Low power and high speed bipolar switching with a thin reactive TI buffer layer in robust HfO2 based RRAM. In: 2008 IEEE International Electron Devices Meeting, pp. 1–4. IEEE (2008)

    Google Scholar 

  24. Sheu, S.S., et al.: A 5ns fast write multi-level non-volatile 1 k bits RRAM memory with advance write scheme. In: 2009 Symposium on VLSI Circuits, pp. 82–83. IEEE (2009)

    Google Scholar 

  25. Shih, C.C., et al.: Ultra-low switching voltage induced by inserting SIO 2 layer in indium-tin-oxide-based resistance random access memory. IEEE Electron Device Lett. 37(10), 1276–1279 (2016)

    Article  Google Scholar 

  26. Wang, C.H., et al.: Three-dimensional 4f 2 ReRAM cell with CMOS logic compatible process. In: 2010 International Electron Devices Meeting, pp. 29–6. IEEE (2010)

    Google Scholar 

  27. Wang, Y.T., Razavi, B.: An 8-bit 150-MHz CMOS A/D converter. IEEE J. Solid-State Circuits 35(3), 308–317 (2000)

    Article  Google Scholar 

  28. Wu, Y., Lee, B., Wong, H.S.P.: Ultra-low power al 2 o 3-based RRAM with 1\(\mu \)a reset current. In: Proceedings of 2010 International Symposium on VLSI Technology, System and Application, pp. 136–137. IEEE (2010)

    Google Scholar 

  29. Xu, C., Dong, X., Jouppi, N.P., Xie, Y.: Design implications of memristor-based RRAM cross-point structures. In: 2011 Design, Automation and Test in Europe, pp. 1–6. IEEE (2011)

    Google Scholar 

  30. Zhang, J., Donofrio, D., Shalf, J., Jung, M.: Integrating 3D resistive memory cache into GPGPU for energy-efficient data processing. In: 2015 International Conference on Parallel Architecture and Compilation (PACT), pp. 496–497. IEEE (2015)

    Google Scholar 

  31. Zhao, W., Cao, Y.: New generation of predictive technology model for sub-45 nm early design exploration. IEEE Trans. Electron Devices 53(11), 2816–2823 (2006)

    Article  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Udayan Ganguly .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2022 The Author(s), under exclusive license to Springer Nature Switzerland AG

About this paper

Check for updates. Verify currency and authenticity via CrossMark

Cite this paper

Lele, A., Jandhyala, S., Gangurde, S., Singh, V., Subramoney, S., Ganguly, U. (2022). Disrupting Low-Write-Energy vs. Fast-Read Dilemma in RRAM to Enable L1 Instruction Cache. In: Shah, A.P., Dasgupta, S., Darji, A., Tudu, J. (eds) VLSI Design and Test. VDAT 2022. Communications in Computer and Information Science, vol 1687. Springer, Cham. https://doi.org/10.1007/978-3-031-21514-8_41

Download citation

  • DOI: https://doi.org/10.1007/978-3-031-21514-8_41

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-031-21513-1

  • Online ISBN: 978-3-031-21514-8

  • eBook Packages: Computer ScienceComputer Science (R0)

Publish with us

Policies and ethics