Skip to main content

Scalable, High Throughput LDPC Decoder for WiMAX (802.16e) Applications

  • Conference paper
Advances in Computing and Communications (ACC 2011)

Part of the book series: Communications in Computer and Information Science ((CCIS,volume 191))

Included in the following conference series:

Abstract

This paper presents a layered LDPC decoder for WiMAX applications. A novel architecture based on parallel check node is proposed providing scalability in terms of supporting multiple code rates, block lengths and parallelisms to realize a high throughput LDPC decoder. The proposed design is fully compliant to support all 114 codes defined by WiMax standard. The IP core has been implemented using 130 nm standard cell ASIC technology. The proposed decoder achieves a throughput of 240 Mbps at 300 MHz and occupies a chip area of 2.76 mm 2.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 84.99
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 109.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. Gallager, R.G.: Low Density Parity Check Codes. IEEE Trans. Inf. Theory IT-8(1), 21–28 (1962)

    Article  MathSciNet  MATH  Google Scholar 

  2. IEEE Standard for Local and Metropolitan Area Networks-Part 16: Air Interface for Fixed broadband wireless access system, IEEE Std. 802.16 (2004)

    Google Scholar 

  3. MacKay, D.J.C., Neil, R.M.: M Neil: Near Shannon Limit Performance of Low Density Parity Check codes. Electronics Letters 32, 1645–1646 (1996)

    Article  Google Scholar 

  4. Blanksby, A.J., Howland, C.J.: A 690-mW 1-Gb/s 1024-b, rate-1/2 low-density parity-check code decode. IEEE Journal of Solid-State Circuits 37, 404–412 (2002)

    Article  Google Scholar 

  5. Urard, P., Yeo, E., Paumier, L., Georgelin, P., Michel, T., Lebars, V., Lantreibecq, E., Gupta, B.: A 135Mb/s DVB-S2 compliant codec based on 64800b LDPC and BCH codes. In: IEEE Solid-state Circuits Conference (ISSCC), San Francisco, USA, vol. 1, pp. 446–609 (2005)

    Google Scholar 

  6. Kienle, F., Brack, T., Wehn, N.: A synthesizable IP core for DVB-S2 LDPC code decoding. In: Proceedings of IEEE Conference on Design Automation and Test in Europe (DATE), Munich, Germany, pp. 1530–1535 (2005)

    Google Scholar 

  7. Brack, T., Alles, M.: T Lehnigk-Emdem, F. Kienle, N. Wehn, L Insalata, F. Rossi, M. Rovini, L. Fanucci: Low Complexity LDPC Code decoders for Next Generation Standards. In: Proceedings of the Conference on Design, automation and test in Europe, Nice, France, pp. 1–6 (2007)

    Google Scholar 

  8. Brack, T., Alles, M., Kienle, F., Wehn, N.: A Synthesizable IP Core for WiMax 802.16e LDPC Code Decoding. In: 17th Annual IEEE Intl. Symposium on Personal, Indoor and Mobile Radio Commnications (PIMRC 2006), Helsinki, Finland, pp. 1–5 (September 2006)

    Google Scholar 

  9. Mansour, M., Shanbhag, N.: A 640-Mb/s 2048-bit programmable LDPC decoder chip. IEEE J. of Solid-State Circuits 41(3), 684–698 (2006)

    Article  Google Scholar 

  10. Gallager, R.: Low Density Parity Check Codes. MIT Press, Cambridge (1963)

    MATH  Google Scholar 

  11. Tanner, R.M.: A recursive approach to low complexity codes. IEEE Trans. Info. Theory IT-27, 533–547 (1981)

    Article  MathSciNet  MATH  Google Scholar 

  12. Kschischang, F.R., Frey, B.J., Loeliger, H.A.: Factor Graphs and the Sum-Product Algorithm. IEEE Trans. Info. Theory 47, 498–519 (2001)

    Article  MathSciNet  MATH  Google Scholar 

  13. Yeo, E., Pakzad, P., Nikolic, B., Anantharam, V.: High throughput low-density parity-check decoder architectures. In: IEEE Proceedings of GLOBECOM, vol. 5, pp. 3019–3024 (2001)

    Google Scholar 

  14. Bhatt, T., Sundaramurthy, V., Stolpman, V., McCain, D.: Pipelined block serial decoder architecture for structured LDPC codes. In: Proceedings. IEEE International Conference on Acoustics, Speech and Signal Processing. ICASSP 2006, Toulouse, France, vol. 4, pp. IV225–IV228 (2006)

    Google Scholar 

  15. Karkooti, M., Cavallaro, J.: Semi-parallel reconfigurable architectures for real-time LDPC decoding. In: Proceedings of International Conference on Information Technology, Coding and Computing, Las Vegas, USA, vol. 1, pp. 579–585 (2004)

    Google Scholar 

  16. Shih, X.-Y., Zhan, C.-Z., Wu, A.-Y.: A Real-Time Programmable LDPC Decoder Chip for Arbitrary QC-LDPC Parity Check Matrices. In: IEEE Asian Solid State Circuit Conference, Taipei, Taiwan, pp. 369–372 (November 2009)

    Google Scholar 

  17. Masera, F.Q.G., Vacca, F.: Implementation of a flexible LDPC decoder. IEEE Trans. on circuit and systems II, Express Briefs 24(6), 542–546 (2007)

    Article  Google Scholar 

  18. Wang, Y.-L., Ueng, Y.-L., C-L., Yang, C.-J.: Processing Task Arrangement for a Low -Complexity Full-Mode WiMax LDPC Codec. IEEE Trans. on circuit and systems I 58(2), 415–428 (2011)

    Article  MathSciNet  Google Scholar 

  19. Shih, X.-Y., Zhan, C.-Z., Lin, C.-H., Wu, A.-Y.: An 8.29 mm 2 640-Mbps 2048-bit programmable LDPC decoder design for Mobile WiMax system in 0.13 μm CMOS process. IEEE J. of Solid-State Circuits 33(3), 672–683 (2008)

    Article  Google Scholar 

  20. Alles, M., Vogt, T., Wehn, N.: FlexiChap: A reconfigurable ASIP for convolutional, turbo and LDPC code decoding. In: Proc. Turbo codes related topics, pp. 84–89 (September 2008)

    Google Scholar 

  21. Quaglio, F., Vacca, F., Castellano, C., Tarable, A., Masera, G.: Interconnection framework for high-throughput, flexible LDPC decoders. In: Proceedings of the conference on Design, automation and test in Europe, DATE 2006, vol. 2, pp. 1–6 (March 2006)

    Google Scholar 

  22. Vacca, F., Masera, G., Moussa, H., Baghdadi, A., Jezequel, M.: Flexible Architectures for LDPC Decoders Based on Network on Chip Paradigm. In: Proc. 12th Euromicro Conference on Digital System Design, Architectures, Methods and Tools, DSD 2009, pp. 582–589 (August 2009)

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2011 Springer-Verlag Berlin Heidelberg

About this paper

Cite this paper

Awais, M., Singh, A., Masera, G. (2011). Scalable, High Throughput LDPC Decoder for WiMAX (802.16e) Applications. In: Abraham, A., Lloret Mauri, J., Buford, J.F., Suzuki, J., Thampi, S.M. (eds) Advances in Computing and Communications. ACC 2011. Communications in Computer and Information Science, vol 191. Springer, Berlin, Heidelberg. https://doi.org/10.1007/978-3-642-22714-1_39

Download citation

  • DOI: https://doi.org/10.1007/978-3-642-22714-1_39

  • Publisher Name: Springer, Berlin, Heidelberg

  • Print ISBN: 978-3-642-22713-4

  • Online ISBN: 978-3-642-22714-1

  • eBook Packages: Computer ScienceComputer Science (R0)

Publish with us

Policies and ethics