Skip to main content

STT-Based Non-Volatile Logic-in-Memory Framework

  • Chapter
  • First Online:
Field-Coupled Nanocomputing

Part of the book series: Lecture Notes in Computer Science ((LNTCS,volume 8280))

  • 1307 Accesses

Abstract

This work describes an integration of logic within the Spin Transfer Torque Magnetoresistive RAM (STT-MRAM) framework. For memory, a minimum separation between the cells is required to ensure bit-to-bit independency. For logic that relies on magnetostatic coupling, a maximum separation is allowed between magnetic cells for effective computation. Integration of the two functionalities therefore requires meeting the orthogonal spatial needs of separation. In this work the technological challenges of this integration are first described followed by the specifications of the new STT-MRAM based logic-in-memory architecture. How a spin transfer torque based control, also called clock, can tune the architecture between logic and memory modes is next described. A reference free variability tolerant differential read scheme leveraging the integration is presented. This logic-in-memory framework is also an integration between magnetic and CMOS planes. Finally, a logic partitioning between the two planes is described that can significantly improve the performance metrics.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 39.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 54.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. Frank, D.J., Dennard, R.H., Nowak, E., Solomon, P.M., Taur, Y., Wong, H.-S.P.: Device scaling limits of Si MOSFET’s and their application dependencies. Proc. IEEE 89(3), 259–288 (2001)

    Article  Google Scholar 

  2. Slonczewski, J.C.: Current-driven excitation of magnetic multilayers. J. Magn. Magn. Mater. 159(1), L1–L7 (1996)

    Article  Google Scholar 

  3. Parkin, S.S., Kaiser, C., Panchula, A., Rice, P.M., Hughes, B., Samant, M., Yang, S.-H.: Giant tunnelling magnetoresistance at room temperature with MgO (100) tunnel barriers. Nat. Mater. 3(12), 862–867 (2004)

    Article  Google Scholar 

  4. http://www.everspin.com/

  5. Cowburn, R., Welland, M.: Room temperature magnetic quantum cellular automata. Science 287(5457), 1466–1468 (2000)

    Article  Google Scholar 

  6. Imre, A., Csaba, G., Ji, L., Orlov, A., Bernstein, G.H., Porod, W.: Majority logic gate for magnetic quantum-dot cellular automata. Science 311(5758), 205–208 (2006)

    Article  Google Scholar 

  7. Salahuddin, S.: Current induced switching of ferromagnets for low-power memory applications. In: ISQED Symposium, Tutorial (2011)

    Google Scholar 

  8. Zhu, J.-G.J., Park, C.: Magnetic tunnel junctions. Mater. Today 9(11), 36–45 (2006)

    Article  Google Scholar 

  9. Yuasa, S., Djayaprawira, D.: Giant tunnel magnetoresistance in magnetic tunnel junctions with a crystalline MgO (0 0 1) barrier. J. Phys. D: Appl. Phys. 40(21), R337 (2007)

    Article  Google Scholar 

  10. Thomson, W.: Tunneling between ferromagnetic films. Proc. R. Soc. Lond. 8, 546 (1856)

    Article  Google Scholar 

  11. Julliere, M.: Tunneling between ferromagnetic films. Phys. Lett. A 54(3), 225–226 (1975)

    Article  Google Scholar 

  12. Yuasa, S., Fukushima, A., Kubota, H., Suzuki, Y., Ando, K., et al.: Giant tunneling magnetoresistance up to 410% at room temperature in fully epitaxial Co/MgO/Co magnetic tunnel junctions with bcc Co (001) electrodes. Appl. Phys. Lett. 89(4), 42505–42505 (2006)

    Article  Google Scholar 

  13. Maekawa, S. (ed.): Concepts in Spin Electronics. Oxford Science Publications, Oxford (2006)

    MATH  Google Scholar 

  14. Lin, C., Kang, S., Wang, Y., Lee, K., Zhu, X., Chen, W., Li, X., Hsu, W., Kao, Y., Liu, M., et al.: 45 nm low power CMOS logic compatible embedded STT MRAM utilizing a reverse-connection 1T/1MTJ cell. In: 2009 IEEE International Electron Devices Meeting (IEDM), pp. 1–4. IEEE (2009)

    Google Scholar 

  15. Chen, E., Apalkov, D., Diao, Z., Driskill-Smith, A., Druist, D., Lottis, D., Nikitin, V., Tang, X., Watts, S., Wang, S., et al.: Advances and future prospects of spin-transfer torque random access memory. IEEE Trans. Magn. 46(6), 1873–1878 (2010)

    Article  Google Scholar 

  16. Vacca, M., Graziano, M., Zamboni, M.: Majority voter full characterization for nanomagnet logic circuits. IEEE Trans. Nanotechnol. 11(5), 940–947 (2012)

    Article  Google Scholar 

  17. Niemier, M., Alam, M., Hu, X., Bernstein, G., Porod, W., Putney, M., DeAngelis, J.: Clocking structures and power analysis for nanomagnet-based logic devices. In: ISLPED, pp. 26–31. ACM, New York (2007)

    Google Scholar 

  18. Graziano, M., Vacca, M., Chiolerio, A., Zamboni, M.: An NCL-HDL snake-clock-based magnetic QCA architecture. IEEE Trans. Nanotechnol. 10(5), 1141–1149 (2011)

    Article  Google Scholar 

  19. Pulecio, J.F., Bhanja, S.: Magnetic cellular automata coplanar cross wire systems. J. Appl. Phys. 107(3), 034308–034308-5 (2010)

    Article  Google Scholar 

  20. Cowburn, R., Adeyeye, A., Welland, M.: Controlling magnetic ordering in coupled nanomagnet arrays. New J. Phys. 1(1), 16 (1999)

    Article  Google Scholar 

  21. Kumari, A., Bhanja, S.: Landauer clocking for magnetic cellular automata (MCA) arrays. IEEE Trans. Very Large Scale Integr. VLSI Syst. 19(4), 714–717 (2011)

    Article  Google Scholar 

  22. Csaba, G., Lugli, P., Csurgay, A., Porod, W.: Simulation of power gain and dissipation in field-coupled nanomagnets. J. Comput. Electron. 4(1), 105–110 (2005)

    Article  Google Scholar 

  23. Das, J., Alam, S.M., Bhanja, S.: Low power CMOS-magnetic nano-logic with increased bit controllability. In: 2011 11th IEEE Conference on Nanotechnology (IEEE-NANO), pp. 1261–1266. IEEE (2011)

    Google Scholar 

  24. Das, J., Alam, S., Bhanja, S.: Ultra-low power hybrid CMOS-magnetic logic architecture. IEEE TCAS-I 59, 2008–2016 (2012)

    MathSciNet  Google Scholar 

  25. Das, J., Alam, S.M., Bhanja, S.: Nanoelectronic Device Applications Handbook, Chap. 60, vol. 16, 1st edn. CRC Press Llc, Boca Raton (2013)

    Google Scholar 

  26. International technology roadmap for semiconductor (2009)

    Google Scholar 

  27. Donahue, M., Porter, D.: Oommf user’s guide, version 1.0, interagency report nistir 6376. NIST, Gaithersburg, MD (1999)

    Google Scholar 

  28. Scheinfein, M.R.: LLG micromagnetics simulator

    Google Scholar 

  29. Karunaratne, D.K., Bhanja, S.: Study of single layer and multilayer nano-magnetic logic architectures. J. Appl. Phys. 111(7), 07A928–07A928-3 (2012)

    Article  Google Scholar 

  30. Das, J., Alam, S., Bhanja, S.: Low power magnetic quantum cellular automata realization using magnetic multi-layer structures. IEEE JETCAS 1, 267–276 (2011)

    Google Scholar 

  31. Das, J., Alam, S.M., Bhanja, S.: Non-destructive variability tolerant differential read for non-volatile logic. In: Proceedings of the 55th International Midwest Symposium on Circuits and Systems (2012)

    Google Scholar 

  32. Pulecio, J., Pendru, P., Kumari, A., Bhanja, S.: Magnetic cellular automata wire architectures. IEEE Trans. Nanotechnol. 10(6), 1243–1248 (2011)

    Article  Google Scholar 

  33. Bhanja, S., Pulecio, J.: A review of magnetic cellular automata systems. In: 2011 IEEE International Symposium on Circuits and Systems (ISCAS), pp. 2373–2376 (2011)

    Google Scholar 

  34. Dingler, A., Niemier, M.T., Hu, X.S., Lent, E.: Performance and energy impact of locally controlled NML circuits. J. Emerg. Technol. Comput. Syst. 7, 2:1–2:24 (2011)

    Article  Google Scholar 

  35. Zhao, H., Lyle, A., Zhang, Y., Amiri, P., Rowlands, G., Zeng, Z., Katine, J., Jiang, H., Galatsis, K., Wang, K., et al.: Low writing energy and sub nanosecond spin torque transfer switching of in-plane magnetic tunnel junction for spin torque transfer random access memory. J. Appl. Phys. 109(7), 07C720–07C720-3 (2011)

    Google Scholar 

  36. Das, J., Alam, S., Bhanja, S.: Nano magnetic STT-logic partitioning for optimum performance. IEEE Trans. Very Large Scale Integr. VLSI Syst. 22, 90–98 (2014)

    Article  Google Scholar 

  37. Das, J., Alam, S., Bhanja, S.: A novel design concept for high density hybrid cmos-nanomagnetic circuits. In: 2012 12th IEEE Conference on Nanotechnology (IEEE-NANO), pp. 1–6 (2012)

    Google Scholar 

Download references

Acknowledgement

This work is partially supported by NSF Career Award CCF (0639624), NSF EMT/Nano CCF (0824838), NSF CRI (0551621) and USF Presidential Doctoral Fellowship.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Jayita Das .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2014 Springer-Verlag Berlin Heidelberg

About this chapter

Cite this chapter

Das, J., Alam, S.M., Bhanja, S. (2014). STT-Based Non-Volatile Logic-in-Memory Framework. In: Anderson, N., Bhanja, S. (eds) Field-Coupled Nanocomputing. Lecture Notes in Computer Science(), vol 8280. Springer, Berlin, Heidelberg. https://doi.org/10.1007/978-3-662-43722-3_8

Download citation

  • DOI: https://doi.org/10.1007/978-3-662-43722-3_8

  • Published:

  • Publisher Name: Springer, Berlin, Heidelberg

  • Print ISBN: 978-3-662-43721-6

  • Online ISBN: 978-3-662-43722-3

  • eBook Packages: Computer ScienceComputer Science (R0)

Publish with us

Policies and ethics