Skip to main content

Embedded System Co-Design

Synthesis and Verification

  • Chapter
Hardware/Software Co-Design

Part of the book series: NATO ASI Series ((NSSE,volume 310))

Abstract

The electronics industry has been growing at an impressive rate for the past few years. A reason for its growth is the use of electronics components in almost all traditional systems such as automobiles, home appliances, and personal communication devices. In this framework, objects assume an electronic dimension that makes them more effective, more reliable, and less expensive. Home personal computers will not be as pervasive as they are today because more dedicated electronic components will be more appealing and cost-effective for the final user.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 299.00
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 379.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. S. Antoniazzi, A. Balboni, W. Fornaciari, and D. Sciuto. A methodology for control-dominated systems codesign. In Proceedings of the International Workshop on Hardware-Software Codesign, 1994.

    Google Scholar 

  2. R. Alur and D. Dill. Automata for Modeling Real-Time Systems. In Automata, Languages and Programming: 17th Annual Colloquium, volume 443 of Lecture Notes in Computer Science, pages 322–335, 1990. Warwick University, July 16–20.

    Google Scholar 

  3. R.E. Bryant and Y-A Chen. Verification of arithmetic circuits with Binary Moment Diagrams. In Proceedings of the Design Automation Conference, pages 535–541, 1995.

    Google Scholar 

  4. G. Berry, P. Couronné, and G. Gonthier. The synchronous approach to reactive and real-time systems. IEEE Proceedings, 79, September 1991

    Google Scholar 

  5. J. Burch, E. Clarke, K. McMillan, and D. Dill. Sequential circuit verification using symbolic model checking. In Proceedings of the Design Automation Conference, pages 46–51, 1990.

    Google Scholar 

  6. J.R. Burch and D.L. Dill. Automatic verification of pipelined microprocessor control. In Proceedings of the Sixth Workshop on Computer-Aided Verification, pages 68–80, 1994.

    Google Scholar 

  7. R.S. Boyer, M. Kaufmann, and J.S. Moore. The boyer-moore theorem prover and its interactive enhancement. Computers & Mathematics with Applications, pages 27–62, January 1995.

    Google Scholar 

  8. E. Barros, W. Rosenstiel, and X. Xiong. Hardware/software partitioning with UNITY. In Proceedings of the International Workshop on Hardware-Software Code-sign, October 1993.

    Google Scholar 

  9. R. Bryant. Graph-based algorithms for boolean function manipulation. IEEE Transactions on Computers, C-35(8):677–691, August 1986.

    Article  Google Scholar 

  10. F. Balarin and A. Sangiovanni-Vincentelli. A verification strategy for timing- constrained systems. In Proceedings of the Fourth Workshop on Computer-Aided Verification, pages 148–163, 1992.

    Google Scholar 

  11. J. R. Burch. Automatic Symbolic Verification of Real-Time Concurrent Systems. PhD thesis, Carnegie Mellon University, August 1992.

    Google Scholar 

  12. P. Chou and G. Borriello. Software scheduling in the co-synthesis of reactive realtime systems. In Proceedings of the Design Automation Conference, June 1994.

    Google Scholar 

  13. O. Coudert, C. Berthet, and J. C. Madre. Verification of Sequential Machines Using Boolean Functional Vectors. In IMEC-IFIP Int’l Workshop on Applied Formal Methods for Correct VLSI Design, pages 111–128, November 1989.

    Google Scholar 

  14. M. Chiodo, P. Giusto, H. Hsieh, A. Jurecska, L. Lavagno, and A. Sangiovanni- Vincentelli. A formal methodology for hardware/software codesign of embedded systems. IEEE Micro, August 1994.

    Google Scholar 

  15. M. Chiodo, P. Giusto, H. Hsieh, A. Jurecska, L. Lavagno, and A. Sangiovanni- Vincentelli. Synthesis of software programs from CFSM specifications. In Proceedings of the Design Automation Conference, June 1995.

    Google Scholar 

  16. M. Cochran. Using the rate monotonic analysis to analyze the schedulability of ADARTS real-time software designs. In Proceedings of the International Workshop on Hardware-Software Codesign, September 1992.

    Google Scholar 

  17. P. Chou, E.A. Walkup, and G. Borriello. Scheduling for reactive real-time systems. IEEE Micro, 14(4):37–47, August 1994

    Article  Google Scholar 

  18. 18.D. Drusinski and D. Har’el. Using statecharts for hardware description and synthesis. IEEE Transactions on Computer-Aided Design, 8(7), July 1989.

    Google Scholar 

  19. J.G. D’Ambrosio and X.B. Hu. Configuration-level hardware/software partitioning for real-time embedded systems. In Proceedings of the International Workshop on Hardware-Software Codesign, 1994.

    Google Scholar 

  20. D. L. Dill. Trace Theory for Automatic Hierarchical Verification of Speed- Independent Circuits. The MIT Press, Cambridge, Mass., 1988. An ACM Distinguished Dissertation 1988.

    Google Scholar 

  21. R. Ernst and J. Henkel. Hardware-software codesign of embedded controllers based on hardware extraction. In Proceedings of the International Workshop on Hardware- Software Codesign, September 1992.

    Google Scholar 

  22. R. K. Gupta, C. N. Coelho Jr., and G. De Micheli. Synthesis and simulation of digital systems containing interacting hardware and software components. In Proceedings of the Design Automation Conference, June 1992.

    Google Scholar 

  23. R. K. Gupta, C. N. Coelho Jr., and G. De Micheli. Program implementation schemes for hardware-software systems. IEEE Computer, pages 48–55, January 1994.

    Google Scholar 

  24. M. J. C. Gordon and T. F. Melham, editors. Introduction to HOL: a theorem proving environment for higher order logic. Cambridge University Press, 1992.

    Google Scholar 

  25. R.K. Gupta and G. De Micheli. Constrained software generation for hardware- software systems. In Proceedings of the International Workshop on Hardware-Software Codesign, 1994.

    Google Scholar 

  26. J. Hoogerbrugge and H. Corporaal. Transport-triggering vs. operation-triggering. In 5th International Conference on Compiler Construction, April 1994.

    Google Scholar 

  27. X. Hu, J.G. D’Ambrosio, B. T. Murray, and D-L Tang. Codesign of architectures for powertrain modules. IEEE Micro, 14(4):48–58, August 1994.

    Article  Google Scholar 

  28. J. Henkel, R. Ernst, U. Holtmann, and T. Benner. Adaptation of partitioning and high-level synthesis in hardware/software co-synthesis. In Proceedings of the International Conference on Computer-Aided Design, November 1994.

    Google Scholar 

  29. C. A. R. Hoare. Communicating Sequential Processes. In Communications of the ACM, pages 666–677, August 1978.

    Google Scholar 

  30. W. A. Halang and A. D. Stoyenko. Constructing predictable real time systems. Kluwer Academic Publishers, 1991.

    Book  MATH  Google Scholar 

  31. T.B. Ismail, M. Abid, and A.A. Jerraya. COSMOS: a codesign approach for communicating systems. In Proceedings of the International Workshop on Hardware-Software Codesign, 1994.

    Google Scholar 

  32. S. Kumar, J. H. Aylor, B. Johnson, and W. Wulf. Exploring hard ware/soft war e abstractions and alternatives for codesign. In Proceedings of the International Workshop on Hardware-Software Codesign, October 1993.

    Google Scholar 

  33. A. Kalavade and E. A. Lee. Hardware/software co-design using Ptolemy — a case study. In Proceedings of the International Workshop on Hardware-Software Codesign, September 1992.

    Google Scholar 

  34. A. Kalavade and E.A. Lee. A global criticality/local phase driven algorithm for the constrained hardware/software partitioning problem. In Proceedings of the International Workshop on Hardware-Software Codesign, 1994.

    Google Scholar 

  35. D. Ku and G. De Micheli. High level synthesis of ASICs under timing and synchronization constraints. Kluwer Academic Publishers, 1992.

    Google Scholar 

  36. R. P. Kurshan. Automata-Theoretic Verification of Coordinating Processes. Princeton University Press, 1994.

    Google Scholar 

  37. C. Liu and J.W Layland. Scheduling algorithms for multiprogramming in a hard real-time environment. Journal of the ACM, 20(1):44–61, January 1973.

    Article  MathSciNet  Google Scholar 

  38. C. Liem, T. May, and P. Paulin. Register assignment through resource classification for ASIP microcode generation. In Proceedings of the International Conference on Computer-Aided Design, November 1994.

    Google Scholar 

  39. S. Lee and J.M. Rabaey. A hardware-software co-simulation environment. In Proceedings of the International Workshop on Hardware-Software Codesign, October 1993.

    Google Scholar 

  40. G. Menez, M. Auguin, F Boèri, and C. Carrière. A partitioning algorithm for system-level synthesis. In Proceedings of the International Conference on Computer- Aided Design November 1992.

    Google Scholar 

  41. P. Marwedel. Tree-based mapping of algorithms to predefined structures. In Proceedings of the International Conference on Computer-Aided Design, November 1993.

    Google Scholar 

  42. K. McMillan. Symbolic model checking. Kluwer Academic, 1993.

    Book  MATH  Google Scholar 

  43. G. De Micheli. Synthesis and optimization of digital circuits. McGraw-Hill, 1994.

    Google Scholar 

  44. J. McManis and P. Varaiya. Suspension automata: a decidable class of hybrid automata. In Proceedings of the Sixth Workshop on Computer-Aided Verification, pages 105–117, 1994.

    Google Scholar 

  45. K. Olokutun, R. Helaihel, J. Levitt, and R. Ramirez. A software-hardware cosyn- thesis approach to digital system simulation. IEEE Micro, 14(4):48–58, August 1994.

    Article  Google Scholar 

  46. A. Pnueli. The temporal logics of programs. In Proceedings of the 18 th Annual Symposium on Foundations of Computer Science. IEEE Press, May 1977.

    Google Scholar 

  47. S. Prakash and A. Parker. Synthesis of application-specifc multi-processor architectures. In Proceedings of the Design Automation Conference, June 1991.

    Google Scholar 

  48. J. Rowson. Hardware/software co-simulation. In Proceedings of the Design Automation Conference, pages 439–440, 1994.

    Google Scholar 

  49. U. Steinhausen, R. Camposano, H. Gunther, P. Ploger, M. Theissinger, et al. System- synthesis using hardware/software codesign. In Proceedings of the International Workshop on Hardware-Software Codesign, October 1993.

    Google Scholar 

  50. S. Sutarwala and P. Paulin. Flexible modeling environment for embedded systems design. In Proceedings of the International Workshop on Hardware-Software Codesign, 1994.

    Google Scholar 

  51. R. Saracco, J.R.W. Smith, and R. Reed. Telecommunications systems engineering using SDL. North-Holland — Elsevier, 1989.

    Google Scholar 

  52. D. E. Thomas, J. K. Adams, and H. Schmit. A model and methodology for hardware- software codesign. IEEE Design and Test of Computers, 10(3):6–15, September 1993.

    Article  Google Scholar 

  53. K. ten Hagen and H. Meyr. Timed and untimed hardware/software cosimulation: application and efficient implementation. In Proceedings of the International Workshop on Hardware-Software Codesign, October 1993.

    Google Scholar 

  54. W. Thomas. Automata on infinite objects. In J. van Leeuwen, editor, Handbook of Theoretical Computer Science. Elsevier, 1990.

    Google Scholar 

  55. M. Theissinger, P. Stravers, and H. Veit. CASTLE: an interactive environment for hw-sw co-design. In Proceedings of the International Workshop on Hardware-Software Codesign, 1994.

    Google Scholar 

  56. A. Takach and W. Wolf. An automaton model for scheduling constraints in synchronous machines. IEEE Transactions on Computers, 44(1): 1–12, January 1995.

    Article  MATH  Google Scholar 

  57. F. Vahid and D. G. Gajski. Specifcation partitioning for system design. In Proceedings of the Design Automation Conference, June 1992.

    Google Scholar 

  58. M. Voss, T. Ben Ismail, A.A. Jerraya, and K-H. Kapp. Towards a theory for hardware-software codesign. In Proceedings of the International Workshop on Hardware-Software Codesign, 1994.

    Google Scholar 

  59. J. Wilberg, R. Camposano, and W. Rosenstiel. Design flow for hardware/software cosynthesis of a video compression system. In Proceedings of the International Workshop on Hardware-Software Codesign, 1994.

    Google Scholar 

  60. J. Wilson. Hardware/software selected cycle solution. In Proceedings of the International Workshop on Hardware-Software Codesign, 1994.

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 1996 Kluwer Academic Publishers

About this chapter

Cite this chapter

Lavagno, L., Sangiovanni-Vincentelli, A., Hsieh, H. (1996). Embedded System Co-Design. In: De Micheli, G., Sami, M. (eds) Hardware/Software Co-Design. NATO ASI Series, vol 310. Springer, Dordrecht. https://doi.org/10.1007/978-94-009-0187-2_9

Download citation

  • DOI: https://doi.org/10.1007/978-94-009-0187-2_9

  • Publisher Name: Springer, Dordrecht

  • Print ISBN: 978-0-7923-3883-3

  • Online ISBN: 978-94-009-0187-2

  • eBook Packages: Springer Book Archive

Publish with us

Policies and ethics