Skip to main content

Robust Application Scheduling with Adaptive Parallelism in Dark-Silicon Constrained Multicore Systems

  • Chapter
  • First Online:
The Dark Side of Silicon

Abstract

With deeper technology scaling accompanied by a worsening power-wall, an increasing proportion of chip area on a chip multiprocessor (CMP) is expected to be occupied by dark silicon. At the same time, design challenges due to process variations and soft-errors in integrated circuits are projected to become even more severe. It is well known that spatial variations in process parameters introduce significant unpredictability in the performance and power profiles of CMP cores. By mapping applications on to the best set of cores, process variations could potentially be used to our advantage in the dark-silicon era. In addition, the probability of occurrence of soft-errors during execution of any application has been found to be strongly related to the supply voltage and operating frequency values, thus necessitating reliability awareness within run-time voltage scaling schemes in contemporary CMPs. In this chapter, we present a novel framework that leverages the knowledge of variations on the chip to perform run-time application mapping and dynamic voltage scaling (DVS) to optimize system performance and energy, while satisfying dark-silicon power constraints of the chip as well as application-specific performance and reliability constraints. Our experimental results show average savings of 35–80 % in application service times and 13–15 % in energy consumption, compared to prior work.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 84.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 139.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 109.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. A. Kaouache, F. Wrobel, F. Saigne, A.D. Touboul, R.D. Schrimpf, Analytical method to evaluate soft error rate due to alpha contamination. IEEE Trans. Nucl. Sci. 60(6), 4059–4066 (2013)

    Article  Google Scholar 

  2. N. Gaspard, S. Jagannathan, Z. Diggins, A.V. Kauppila, T.D. Loveless, J.S. Kauppila, B.L. Bhuva, L.W. Massengill, W.T. Holman, Effect of threshold voltage implants on single-event error rates of D flip-flops in 28-nm bulk CMOS. IEEE International Reliability Physics Symposium, Apr 2013, pp. SE.7.1–SE.7.3

    Google Scholar 

  3. S. Abe, Y. Watanabe, N. Shibano, N. Sano, H. Furuta, M. Tsutsui, T. Uemura, T. Arakawa, Neutron-induced soft error analysis in MOSFETs from a 65 to a 25 nm design rule using multi-scale Monte-Carlo simulation method. IEEE International Reliability Physics Symposium, Apr 2012, pp. SE.3.1–SE.3.6

    Google Scholar 

  4. D. Zhu, R. Melhem, D. Mosse, The effects of energy management on reliability in real-time embedded systems. IEEE/ACM International Conference on Computer Aided Design (ICCAD), San Jose, California, Nov 2004, pp. 35–40

    Google Scholar 

  5. T.D. Loveless, S. Jagannathan, T. Reece, J. Chetia, B.L. Bhuva, M.W. McCurdy, L.W. Massengill, S.J. Wen, R. Wong, D. Rennie, Neutron- and proton-induced single event upsets for D- and DICE-flip/flop designs at a 40 nm technology node. IEEE Trans. Nucl. Sci. 58(3), 1008–1014 (2011)

    Article  Google Scholar 

  6. E. Humenay, D. Tarjan, K. Skadron, Impact of process variations on multicore performance symmetry. ACM/IEEE Design, Automation and Test in Europe Conference (DATE), Nice, France, Apr 2007, pp. 1653–1658

    Google Scholar 

  7. L. Pang, K. Qian, C.J. Spanos, B. Nikolic, Measurement and analysis of variability in 45 nm strained-Si CMOS technology. IEEE J. Solid State Circuits 44(8), 2233–2243 (2009)

    Article  Google Scholar 

  8. L. Huang, Q. Xu, Performance yield-driven task allocation and scheduling for MPSoCs under process variation. ACM/IEEE Design Automation Conference (DAC), Anaheim, California, June 2010, pp. 326–331

    Google Scholar 

  9. N. Kapadia, S. Pasricha, Process variation aware synthesis of application-specific MPSoCs to maximize yield. IEEE International Conference on VLSI Design (VLSID), Mumbai, 2014, pp. 270–275

    Google Scholar 

  10. L. Zhang, L.S. Bai, R.P. Dick, L. Shang, R. Joseph, Process variation characterization of chip-level multiprocessors. ACM/IEEE Design Automation Conference (DAC), San Francisco, California, July 2009, pp. 694–697

    Google Scholar 

  11. X. Wang, M. Tehranipoor, S. George, D. Tran, L. Winemberg, Design and analysis of a delay sensor applicable to process/environmental variations and aging measurements. IEEE Trans. Very Large Scale Integr. VLSI Syst. 20(8), 1405–1418 (2012)

    Article  Google Scholar 

  12. A.A.M. Bsoul, N. Manjikian, L. Shang, Reliability- and process variation-aware placement for FPGAs. ACM/IEEE Design, Automation and Test in Europe Conference (DATE), Dresden, Germany, Mar 2010, pp. 1809–1814

    Google Scholar 

  13. J. Lee, N.S. Kim, Optimizing total power of many-core processors considering voltage scaling limit and process variations. ACM/IEEE International Symposium on Low Power Electronics and Design (ISLPED), San Francisco, California, July 2009, pp. 201–206

    Google Scholar 

  14. S. Borkar, Design perspectives on 22nm CMOS and beyond. IEEE/ACM Design Automation Conference (DAC), San Francisco, California, July 2009, pp. 93–94

    Google Scholar 

  15. J. Allred, S. Roy, K. Chakraborty, Designing for dark silicon: a methodical perspective on energy efficient systems. ACM/IEEE International Symposium on Low Power Electronics and Design (ISLPED), Redondo Beach, CA, July 2012, pp. 255–260

    Google Scholar 

  16. B. Raghunathan, Y. Turakhia, S. Garg, D. Marculescu, Cherry-picking: exploiting process variations in dark-silicon homogeneous chip multi-processors. ACM/IEEE Design, Automation and Test in Europe Conference (DATE), Grenoble, France, Mar 2013, pp. 39–44

    Google Scholar 

  17. Y. Turakhia, B. Raghunathan, S. Garg, D. Marculescu, HADES: architectural synthesis for heterogeneous dark silicon chip multi-processors. ACM/EDAC/IEEE Design Automation Conference (DAC), Austin, Texas, June 2013, pp. 1–7

    Google Scholar 

  18. C. Chou, U. Ogras, R. Marculescu, Energy- and performance-aware incremental mapping for networks on chip with multiple voltage levels. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 27(10), 1866–1879 (2008)

    Article  Google Scholar 

  19. M. Fattah, M. Daneshtalab, P. Liljeberg, J. Plosila, Smart hill climbing for agile dynamic mapping in many-core systems. ACM/EDAC/IEEE Design Automation Conference (DAC), Austin, Texas, June 2013, pp. 1–6

    Google Scholar 

  20. A. Kanduri, M.-H. Haghbayan, A.-M. Rahmani, P. Liljeberg, A. Jantsch, H. Tenhunen, Dark silicon aware runtime mapping for many-core systems: a patterning approach. IEEE Conference on Computer Design (ICCD), New York. Oct 2015

    Google Scholar 

  21. M.-H. Haghbayan, A.-M. Rahmani, A. Miele, M. Fattah, J. Plosila, P. Liljeberg, H. Tenhunen, A power aware approach for online test scheduling in many-core architectures. IEEE Trans. Comput. 65(3), 730–743 (2015)

    Article  MathSciNet  Google Scholar 

  22. A. Das, A. Kumar, B. Veeravalli, C. Bolchini, A. Miele, Combined DVFS and mapping exploration for lifetime and soft-error susceptibility improvement in MPSoCs. ACM/IEEE Design, Automation and Test in Europe Conference (DATE), Dresden, Germany, Mar 2014, pp. 1–6

    Google Scholar 

  23. M. Haque, H. Aydin, D. Zhu, Energy-aware task replication to manage reliability for periodic real-time applications on multicore platforms. International Green Computing Conference (IGCC), Arlington, Virginia, June 2013, pp. 1–11

    Google Scholar 

  24. S. Kang, H. Yang, S. Kim, I. Bacivarov, S. Ha, L. Thiele, Static mapping of mixed-critical applications for fault-tolerant MPSoCs. ACM/EDAC/IEEE Design Automation Conference (DAC), San Francisco, California, June 2014, pp. 1–6

    Google Scholar 

  25. A. Raman, H.K. Taewook, O.J.W. Lee, D.I. August, Parallelism orchestration using DoPE: the degree of parallelism executive. ACM SIGPLAN Conference on Programming Language Design and Implementation (PLDI), San Jose, California, June 2011, pp. 26–37

    Google Scholar 

  26. J. Li, J.F. Martinez, Dynamic power-performance adaptation of parallel computation on chip multiprocessors. International Symposium on High-Performance Computer Architecture (HPCA), Austin, Texas, Feb 2006, pp. 77–87

    Google Scholar 

  27. Y. Ding, M. Kandemir, P. Raghavan, M.J. Irwin. A helper thread based EDP reduction scheme for adapting application execution in CMPs. IEEE International Symposium on Parallel and Distributed Processing (IPDPS), Miami, Florida, Apr 2008, pp. 1–14

    Google Scholar 

  28. S. Dighe, S.R. Vangal, P. Aseron, S. Kumar, T. Jacob, K.A. Bowman, J. Howard, J. Tschanz, V. Erraguntla, N. Borkar, V.K. De, S. Borkar, Within-die variation-aware dynamic-voltage-frequency-scaling with optimal core allocation and thread hopping for the 80-core TeraFLOPS processor. IEEE J. Solid State Circuits 46(1), 184–193 (2011)

    Article  Google Scholar 

  29. S. Sarangi, B. Greskamp, R. Teodorescu, J. Nakano, A. Tiwari, J. Torrellas, VARIUS: a model of process variation and resulting timing errors for microarchitects. IEEE Trans. Semicond. Manuf. 21(1), 3–13 (2008)

    Article  Google Scholar 

  30. N. Kapadia, S. Pasricha, VISION: a framework for voltage island aware synthesis of interconnection networks-on-chip. ACM Great Lakes Symposium on VLSI (GLSVLSI), Switzerland, May 2011, pp. 31–36

    Google Scholar 

  31. N. Kapadia, S. Pasricha, A framework for low power synthesis of interconnection networks-on-chip with multiple voltage islands. Integr. VLSI J. 45(3), 271–281 (2012)

    Article  Google Scholar 

  32. N. Kapadia, S. Pasricha, VERVE: a framework for variation-aware energy efficient synthesis of NoC-based MPSoCs with voltage islands. IEEE International Symposium on Quality Electronic Design (ISQED), San Jose, California, Mar 2013, pp. 603–610

    Google Scholar 

  33. S.V. Woo, M. Ohara, E. Torriet, The SPLASH-2 programs: characterization and methodological characterization. IEEE/ACM International Symposium on Computer Architecture (ISCA), Santa Margherita Ligure, Italy, May 1995, pp. 24–36

    Google Scholar 

  34. C. Bienia, S. Kumar, J.P. Singh, K. Li, The PARSEC benchmark suite: characterization and architectural implications. ACM International Conference on Parallel Architectures and Compilation Techniques (PACT), Princeton University, Oct 2008, pp. 72–81

    Google Scholar 

  35. N. Binkert, B. Beckmann, G. Black, S.K. Reinhardt, A. Saidi, A. Basu, J. Hestness, D.R. Hower, T. Krishna, S. Sardashti, R. Sen, K. Sewell, M. Shoaib, N. Vaish, M.D. Hill, D.A. Wood, The gem5 simulator. ACM Special Interest Group on Computer Architecture (SIGARCH) 39(2), 1–7 (2011)

    Google Scholar 

  36. ARM, http://www.arm.com/products/processors/selector.php

  37. A. Bashir, J. Li, K. Ivatury, N. Khan, N. Gala, N. Familia, Z. Mohammed, Fast lock scheme for phase-locked loops. IEEE Custom Integrated Circuit Conference, San Jose, California, Sept 2009, pp. 319–322

    Google Scholar 

  38. VARIUS model, http://www.cse.ohiostate.edu/~teodores/arch/tools/

  39. B. Li, L. Peh, P. Patra. Impact of process and temperature variation on network-on-chip design exploration. ACM/IEEE International Symposium on Networks-on-Chip (NOCS), Newcastle, U.K, Apr 2008, pp. 117–126

    Google Scholar 

  40. A. Kahng, B. Li, L. Peh, K. Samadi, ORION 2.0: a fast and accurate NoC power and area model for early-stage design space exploration. ACM/IEEE Design Automation and Test in Europe (DATE), Nice, France, Apr 2009, pp. 423–428

    Google Scholar 

Download references

Acknowledgements

This research is supported by grants from SRC, NSF (CCF-1252500, CCF-1302693), and AFOSR (FA9550-13-1-0110).

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Nishit Kapadia .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2017 Springer International Publishing Switzerland

About this chapter

Cite this chapter

Kapadia, N., Pasricha, S. (2017). Robust Application Scheduling with Adaptive Parallelism in Dark-Silicon Constrained Multicore Systems. In: Rahmani, A., Liljeberg, P., Hemani, A., Jantsch, A., Tenhunen, H. (eds) The Dark Side of Silicon. Springer, Cham. https://doi.org/10.1007/978-3-319-31596-6_8

Download citation

  • DOI: https://doi.org/10.1007/978-3-319-31596-6_8

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-319-31594-2

  • Online ISBN: 978-3-319-31596-6

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics