Skip to main content

Abstract

Modern computing systems require many cores in the same chip, and their number is expected to increase every year. Furthermore, the ever-increasing transistor integration and the observed limits on voltage scaling for next-generation technology nodes are starting to result in high power densities and temperatures on manycore systems, which are the causes behind the emerging dark silicon problem. Specifically, when a common cooling solution is used for several scaling generations (i.e., the cooling costs are kept constant), all the cores on a chip can no longer be simultaneously active at the nominal operation levels without violating the chip’s thermal constraints. Such an effect challenges the viability of further cost-effective technology scaling, given that it can slow down the current performance gain trends between generations. Therefore, efficient and effective power and thermal management techniques are now all the more relevant, especially for optimizing performance, as they promise to maintain technology scaling trends feasible, without incurring high cooling costs, while avoiding the chip from possible overheating. Moreover, in order to prolong the battery lifetime of embedded systems, or to cut the power bills in servers, energy management for energy minimization under performance (or real-time) constraints is another relevant (almost dual) problem. In this book, we focus on two of the most relevant problems related to power management on multicore and manycore systems. Specifically, one part of the book focuses on maximizing/optimizing computational performance under power or thermal constraints, while another part focuses on minimizing energy consumption under performance (or real-time) constraints.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 119.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 159.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 159.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. ITRS: International technology roadmap for semiconductors, 2011 edition. www.itrs.net

  2. Shafique, M., Garg, S., Henkel, J., Marculescu, D.: The EDA challenges in the dark silicon era: Temperature, reliability, and variability perspectives. In: Proceedings of the 51st ACM/EDAC/IEEE Design Automation Conference (DAC), pp. 185:1–185:6 (2014)

    Google Scholar 

  3. Esmaeilzadeh, H., Blem, E., St.Amant, R., Sankaralingam, K., Burger, D.: Dark silicon and the end of multicore scaling. In: Proceedings of the 38th International Symposium on Computer Architecture (ISCA), pp. 365–376 (2011)

    Google Scholar 

  4. Taylor, M.B.: Is dark silicon useful?: harnessing the four horsemen of the coming dark silicon apocalypse. In: Proceedings of the 49th ACM/EDAC/IEEE Design Automation Conference (DAC), pp. 1131–1136. ACM (2012)

    Google Scholar 

  5. Henkel, J., Khdr, H., Pagani, S., Shafique, M.: New trends in dark silicon. In: Proceedings of the 52nd ACM/EDAC/IEEE Design Automation Conference (DAC), pp. 119:1–119:6 (2015). https://doi.org/10.1145/2744769.2747938. [HiPEAC Paper Award]

  6. Bienia, C., Kumar, S., Singh, J.P., Li, K.: The PARSEC benchmark suite: Characterization and architectural implications. In: Proceedings of the 17th International Conference on Parallel Architectures and Compilation Techniques (PACT), pp. 72–81 (2008)

    Google Scholar 

  7. Binkert, N., Beckmann, B., Black, G., Reinhardt, S.K., Saidi, A., Basu, A., Hestness, J., Hower, D.R., Krishna, T., Sardashti, S., Sen, R., Sewell, K., Shoaib, M., Vaish, N., Hill, M.D., Wood, D.A.: The gem5 simulator. ACM SIGARCH Comput. Archit. News 39(2), 1–7 (2011)

    Google Scholar 

  8. Li, S., Ahn, J.H., Strong, R., Brockman, J., Tullsen, D., Jouppi, N.: McPAT: An integrated power, area, and timing modeling framework for multicore and manycore architectures. In: Proceedings of the 42nd IEEE/ACM International Symposium on Microarchitecture (MICRO), pp. 469–480 (2009)

    Google Scholar 

  9. Samsung Electronics Co., Ltd.: Exynos 5 Octa (5422). www.samsung.com/exynos

  10. Carlson, T.E., Heirman, W., Eyerman, S., Hur, I., Eeckhout, L.: An evaluation of high-level mechanistic core models. ACM Trans. Archit. Code Optim. (TACO) 11(3), 28:1–28:25 (2014). https://doi.org/10.1145/2629677

  11. Intel Corporation: Dual-core intel xeon processor 5100 series datasheet, revision 003 (2007)

    Google Scholar 

  12. Tan, C., Muthukaruppan, T., Mitra, T., Ju, L.: Approximation-aware scheduling on heterogeneous multi-core architectures. In: Proceedings of the 20th Asia and South Pacific Design Automation Conference (ASP-DAC), pp. 618–623 (2015)

    Google Scholar 

  13. Greenhalgh, P.: big.LITTLE processing with ARM Cortex-A15 & Cortex-A7. White paper, ARM Limited (2011)

    Google Scholar 

  14. Pinckney, N., Sewell, K., Dreslinski, R.G., Fick, D., Mudge, T., Sylvester, D., Blaauw, D.: Assessing the performance limits of parallelized near-threshold computing. In: the 49th Design Automation Conference (DAC), pp. 1147–1152 (2012)

    Google Scholar 

  15. Grenat, A., Pant, S., Rachala, R., Naffziger, S.: 5.6 adaptive clocking system for improved power efficiency in a 28nm x86-64 microprocessor. In: IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC), pp. 106–107 (2014)

    Google Scholar 

  16. Herbert, S., Marculescu, D.: Analysis of dynamic voltage/frequency scaling in chip-multiprocessors. In: Proceedings of the International Symposium on Low Power Electronics and Design (ISLPED), pp. 38–43 (2007)

    Google Scholar 

  17. Intel Corporation: SCC external architecture specification (EAS), revision 0.98 (2010)

    Google Scholar 

  18. Khdr, H., Pagani, S., Shafique, M., Henkel, J.: Thermal constrained resource management for mixed ILP-TLP workloads in dark silicon chips. In: Proceedings of the 52nd ACM/EDAC/IEEE Design Automation Conference (DAC), pp. 179:1–179:6 (2015). https://doi.org/10.1145/2744769.2744916. [HiPEAC Paper Award]

  19. Isci, C., Martonosi, M.: Runtime power monitoring in high-end processors: methodology and empirical data. In: Proceedings of the 36th IEEE/ACM International Symposium on Microarchitecture (MICRO), pp. 93–104 (2003). https://doi.org/10.1109/MICRO.2003.1253186

  20. Li, Y., Henkel, J.: A framework for estimation and minimizing energy dissipation of embedded HW/SW systems. In: Proceedings of the 35th ACM/IEEE Design Automation Conference (DAC), pp. 188–193 (1998). https://doi.org/10.1145/277044.277097

  21. Powell, M., Biswas, A., Emer, J., Mukherjee, S., Sheikh, B., Yardi, S.: CAMP: A technique to estimate per-structure power at run-time using a few simple parameters. In: Proceedings of the 15th IEEE International Symposium on High Performance Computer Architecture (HPCA), pp. 289–300 (2009). https://doi.org/10.1109/HPCA.2009.4798264

  22. Wu, W., Jin, L., Yang, J., Liu, P., Tan, S.X.D.: Efficient power modeling and software thermal sensing for runtime temperature monitoring. ACM Trans. Des. Autom. Electron. Syst. (TODAES) 12(3), 25:1–25:29 (2008). https://doi.org/10.1145/1255456.1255462

  23. Eguia, T.J.A., Tan, S.X.D., Shen, R., Pacheco, E.H., Tirumala, M.: General behavioral thermal modeling and characterization for multi-core microprocessor design. In: Proceedings of the 18th Design, Automation and Test in Europe (DATE), pp. 1136–1141 (2010)

    Google Scholar 

  24. Aydin, H., Yang, Q.: Energy-aware partitioning for multiprocessor real-time systems. In: Proceedings of 17th International Parallel and Distributed Processing Symposium (IPDPS), pp. 113–121 (2003)

    Google Scholar 

  25. Elewi, A., Shalan, M., Awadalla, M., Saad, E.M.: Energy-efficient task allocation techniques for asymmetric multiprocessor embedded systems. ACM Trans. Embed. Comput. Syst. (TECS) 13(2s), 71:1–71:27 (2014)

    Google Scholar 

  26. Kultursay, E., Swaminathan, K., Saripalli, V., Narayanan, V., Kandemir, M.T., Datta, S.: Performance enhancement under power constraints using heterogeneous CMOS-TFET multicores. In: Proceedings of the 7th IEEE/ACM International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS), pp. 245–254 (2012)

    Google Scholar 

  27. Muthukaruppan, T.S., Pricopi, M., Venkataramani, V., Mitra, T., Vishin, S.: Hierarchical power management for asymmetric multi-core in dark silicon era. In: Proceedings of the 50th ACM/EDAC/IEEE Design Automation Conference (DAC), pp. 174:1–174:9 (2013)

    Google Scholar 

  28. Raghunathan, B., Turakhia, Y., Garg, S., Marculescu, D.: Cherry-picking: Exploiting process variations in dark-silicon homogeneous chip multi-processors. In: Proceedings of the 16th Design, Automation and Test in Europe (DATE), pp. 39–44 (2013)

    Google Scholar 

  29. Sartori, J., Kumar, R.: Three scalable approaches to improving many-core throughput for a given peak power budget. In: Proceedings of the International Conference on High Performance Computing (HiPC), pp. 89–98 (2009)

    Google Scholar 

  30. Hanumaiah, V., Vrudhula, S., Chatha, K.S.: Performance optimal online DVFS and task migration techniques for thermally constrained multi-core processors. Trans. Comput. Aided Des. Integr. Circuits Syst. (TCAD) 30(11), 1677–1690 (2011)

    Google Scholar 

  31. Casazza, J.: First the tick, now the tock: Intel microarchitecture (nehalem). Intel Corporation, White paper (2009)

    Google Scholar 

  32. Charles, J., Jassi, P., Ananth, N.S., Sadat, A., Fedorova, A.: Evaluation of the Intel core i7 turbo boost feature. In: Proceedings of the IEEE International Symposium on Workload Characterization (IISWC), pp. 188–197 (2009)

    Google Scholar 

  33. Intel Corporation: Intel Turbo Boost Technology in Intel CoreTM Microarchitecture (nehalem) Based Processors. Intel Corporation, White paper (2008)

    Google Scholar 

  34. Rotem, E., Naveh, A., Rajwan, D., Ananthakrishnan, A., Weissmann, E.: Power-management architecture of the intel microarchitecture code-named sandy bridge. IEEE Micro 32(2), 20–27 (2012)

    Google Scholar 

  35. Nussbaum, S.: AMD trinity APU. In: Hot Chips: A Symposium on High Performance Chips (2012)

    Google Scholar 

  36. Xu, R., Zhu, D., Rusu, C., Melhem, R., Mossé, D.: Energy-efficient policies for embedded clusters. In: Proceedings of the ACM SIGPLAN/SIGBED Conference on Languages, Compilers, and Tools for Embedded Systems (LCTES), pp. 1–10 (2005)

    Google Scholar 

  37. Chen, J.J., Thiele, L.: Energy-efficient scheduling on homogeneous multiprocessor platforms. In: Proceedings of the ACM Symposium on Applied Computing (SAC), pp. 542–549 (2010)

    Google Scholar 

  38. de Langen, P.J., Juurlink, B.H.H.: Leakage-aware multiprocessor scheduling for low power. In: Proceedings of the 20th International Parallel and Distributed Processing Symposium (IPDPS) (2006)

    Google Scholar 

  39. Devadas, V., Aydin, H.: Coordinated power management of periodic real-time tasks on chip multiprocessors. In: Proceedings of the International Conference on Green Computing (GREENCOMP), pp. 61–72 (2010)

    Google Scholar 

  40. Yang, C.Y., Chen, J.J., Kuo, T.W.: An approximation algorithm for energy-efficient scheduling on a chip multiprocessor. In: Proceedings of the 8th Design, Automation and Test in Europe (DATE), pp. 468–473 (2005)

    Google Scholar 

  41. Seo, E., Jeong, J., Park, S.Y., Lee, J.: Energy efficient scheduling of real-time tasks on multicore processors. IEEE Trans. Parallel and Distrib. Syst. (TPDS) 19(11), 1540–1552 (2008). https://doi.org/10.1109/TPDS.2008.104

  42. Kim, H., Hong, H., Kim, H.S., Ahn, J.H., Kang, S.: Total energy minimization of real-time tasks in an on-chip multiprocessor using dynamic voltage scaling efficiency metric. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. (TCAD) 27(11), 2088–2092 (2008). https://doi.org/10.1109/TCAD.2008.2006094

  43. Han, J.J., Wu, X., Zhu, D., Jin, H., Yang, L., Gaudiot, J.L.: Synchronization-aware energy management for VFI-based multicore real-time systems. IEEE Trans. Comput. (TC) 61(12), 1682–1696 (2012)

    Google Scholar 

  44. Kong, F., Yi, W., Deng, Q.: Energy-efficient scheduling of real-time tasks on cluster-based multicores. In: Proceedings of the 14th Design, Automation and Test in Europe (DATE), pp. 1–6 (2011)

    Google Scholar 

  45. Nikitin, N., Cortadella, J.: Static task mapping for tiled chip multiprocessors with multiple voltage islands. In: Proceedings of the 25th International Conference on Architecture of Computing Systems (ARCS), pp. 50–62 (2012)

    Google Scholar 

  46. Wu, X., Zeng, Y., Han, J.J.: Energy-efficient task allocation for VFI-based real-time multi-core systems. In: Proceedings of the International Conference on Information Science and Cloud Computing Companion (ISCC-C), pp. 123–128 (2013)

    Google Scholar 

  47. Muthukaruppan, T.S., Pathania, A., Mitra, T.: Price theory based power management for heterogeneous multi-cores. In: Proceedings of the 19th International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS), pp. 161–176 (2014)

    Google Scholar 

  48. Huang, W., Ghosh, S., Velusamy, S., Sankaranarayanan, K., Skadron, K., Stan, M.: HotSpot: a compact thermal modeling methodology for early-stage VLSI design. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 14(5), 501–513 (2006)

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Santiago Pagani .

Rights and permissions

Reprints and permissions

Copyright information

© 2018 Springer International Publishing AG, part of Springer Nature

About this chapter

Check for updates. Verify currency and authenticity via CrossMark

Cite this chapter

Pagani, S., Chen, JJ., Shafique, M., Henkel, J. (2018). Introduction. In: Advanced Techniques for Power, Energy, and Thermal Management for Clustered Manycores. Springer, Cham. https://doi.org/10.1007/978-3-319-77479-4_1

Download citation

  • DOI: https://doi.org/10.1007/978-3-319-77479-4_1

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-319-77478-7

  • Online ISBN: 978-3-319-77479-4

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics