Skip to main content

Impact of Metals on Silicon Devices and Circuits

  • Chapter
  • First Online:
Metal Impurities in Silicon- and Germanium-Based Technologies

Part of the book series: Springer Series in Materials Science ((SSMATERIALS,volume 270))

  • 1098 Accesses

Abstract

This Chapter overviews the possible impact of metal impurities on the operation, reliability and yield of devices and circuits. In a first part, the presence of metal centers in a MOS capacitor will be described. Both dissolved atoms and precipitates can cause deleterious effects on the MOS characteristics, giving rise to excess gate leakage, hysteresis and instability and in the worst case, early breakdown (failure). A second part will have a look on p-n junctions and Schottky barriers. Again, excess leakage current can be generated in reverse operation and soft breakdown characteristics may be obtained. In forward operation, excess recombination mediated by metal-related deep levels gives rise to an ideality factor between 1 and 2. A third part considers the impact on transistors and circuits, including CCDs and CMOS Imager Sensors (CIS).

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 149.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 199.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 199.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. S. Machida, Y. Yamashita, T. Misumi, T. Sugiyama, Effects of trap levels on reverse recovery surge of silicon power diode. Jpn. J. Appl. Phys. 52, 04CP01/1-6 (2013). https://doi.org/10.7567/jjap.52.04cp01

    Article  Google Scholar 

  2. E.H. Snow, A.S. Grove, B.E. Deal, C.T. Sah, Ion transport phenomena in insulating films. J. Appl. Phys. 36, 1664–1673 (1965). https://doi.org/10.1063/1.1703105

    Article  CAS  Google Scholar 

  3. E. Yon, W.H. Ko, A.B. Kuper, Sodium distribution in thermal oxide on silicon by radiochemical and MOS analysis. IEEE Trans. Electron Dev. 13, 276–280 (1966). https://doi.org/10.1109/t-ed.1966.15680

    Article  CAS  Google Scholar 

  4. J.V. Dalton, J. Drobek, Structure and sodium migration in silicon nitride films. J. Electrochem. Soc. 225, 865–868 (1968). https://doi.org/10.1149/1.241145

    Article  Google Scholar 

  5. P.S.D. Lin, R.B. Marcus, T.T. Sheng, Leakage and breakdown in thin oxide capacitors—correlation with decorated stacking faults. J. Electrochem. Soc. 130, 1878–1883 (1983). https://doi.org/10.1149/1.2120116

    Article  CAS  Google Scholar 

  6. D.A. Ramappa, W.B. Henley, Diffusion of iron in silicon dioxide. J. Electrochem. Soc. 146, 3773–3777 (1999). https://doi.org/10.1149/1.1392548

    Article  CAS  Google Scholar 

  7. O. Kononchuk, K.G. Korablev, N. Yarykin, G.A. Rozgonyi, Diffusion of iron in the silicon dioxide layer of silicon-on-insulator structures. Appl. Phys. Lett. 73, 1206–1208 (1998). https://doi.org/10.1063/1.122128

    Article  CAS  Google Scholar 

  8. K.L. Beaman, A. Agarwal, O. Kononchuk, S. Koveschnikov, I. Bondarenko, G.A. Rozgonyi, Gettering of iron in silicon-on-insulator wafers. Appl. Phys. Lett. 71, 1107–1109 (1997). https://doi.org/10.1063/1.119741

    Article  CAS  Google Scholar 

  9. J. Leveneur, M. Langlois, J. Kennedy, J.B. Metson, Excess oxygen limited diffusion and precipitation of iron in amorphous silicon dioxide. J. Appl. Phys. 122, 135302/1-8 (2017). https://doi.org/10.1063/1.4985819

    Article  CAS  Google Scholar 

  10. A.R. Smith, R.J. McDonald, H. Manini, D.L. Hurley, E.B. Norman, M.C. Vella, R.W. Odom, Low-background instrumental neutron activation analysis of silicon semiconductor materials. J. Electrochem. Soc. 143, 339–346 (1996). https://doi.org/10.1149/1.1836433

    Article  CAS  Google Scholar 

  11. Y. Kamiura, F. Hashimoto, M. Iwami, Observation of iron pileup and reduction of SiO2 at the Si-SiO2 interface. Appl. Phys. Lett. 53, 1711–1713 (1988). https://doi.org/10.1063/1.99802

    Article  CAS  Google Scholar 

  12. Y. Hoshino, H. Arima, Y. Saito, J. Nakata, Characterization of hot-implanted Fe near the SiO2/Si interface. Jpn. J. Appl. Phys. 50, 035601/1-5 (2011). https://doi.org/10.1143/jjap.50.035601

    Article  Google Scholar 

  13. J. Wong-Leung, D.J. Eaglesham, J. Sapjeta, D.C. Jacobson, J.M. Poate, J.S. Williams, The precipitation of Fe at the Si-SiO2 interface. J. Appl. Phys. 83, 580–584 (1998). https://doi.org/10.1063/1.366643

    Article  CAS  Google Scholar 

  14. H. Shimizu, H. Hagiwara, Enhanced and retarded SiO2 growth on thermally oxidized Fe-contaminated n-type Si(001) surfaces. Jpn. J. Appl. Phys. 52, 041302/1-7 (2013). https://doi.org/10.7567/jjap.52.041302

    Article  Google Scholar 

  15. A. De Luca, M. Texier, A. Portavoce, N. Burie, C. Grosjean, S. Morata, F. Michel, Mechanism of β-FeSi2 precipitates growth-and-dissolution and pyramidal defects’ formation during oxidation of Fe-contaminated silicon wafers. J. Appl. Phys. 117, 115302/1-9 (2015). https://doi.org/10.1063/1.4915086

    Article  CAS  Google Scholar 

  16. R.N. Ghoshtagore, Diffusion of nickel in amorphous silicon dioxide and silicon nitride films. J. Appl. Phys. 40, 4374–4376 (1969). https://doi.org/10.1063/1657201

    Article  CAS  Google Scholar 

  17. J. Jablonski, Y. Miyamura, M. Imai, H. Tsuya, Gettering of Cu and Ni impurities in SIMOX wafers. J. Electrochem. Soc. 142, 2059–2066 (1995). https://doi.org/10.1149/1.2044241

    Article  CAS  Google Scholar 

  18. K. Honda, T. Nakanishi, A. Ohsawa, N. Toyokura, Catastrophic breakdown in silicon oxides: The effect of Fe impurities at the Si-SiO2 interface. J. Appl. Phys. 62, 1960–1963 (1987). https://doi.org/10.1063/1.339534

    Article  CAS  Google Scholar 

  19. W.B. Henley, L. Jastrzebski, N.F. Haddad, Effects of iron contamination in silicon on thin oxide breakdown and reliability characteristics. J. Non-Cryst. Solids 187, 134–139 (1995). https://doi.org/10.1016/0022-3093(95)00125-5

    Article  CAS  Google Scholar 

  20. B.D. Choi, D.K. Schroder, Degradation of ultrathin oxides by iron contamination. Appl. Phys. Lett. 79, 2645–2647 (2001). https://doi.org/10.1063/1.1410363

    Article  CAS  Google Scholar 

  21. K. Honda, T. Nakanishi, Influence of Ni impurities at the Si-SiO2 interface on the metal-oxide-semiconductor characteristics. J. Appl. Phys. 75, 7394–7399 (1994). https://doi.org/10.1063/1.356654

    Article  CAS  Google Scholar 

  22. S. Koveshnikov, D. Beauchaine, Z. Radzimski, V. Higgs, Degradation of gate oxide integrity due to Ni and Cu contamination and impurity gettering in epitaxial Si wafers. Electrochem. Soc. Proc. 2, 387–396 (2000)

    Google Scholar 

  23. A.J. Lee, J.-S. Im, H.-B. Kang, S.-W. Lee, Defect formation of nickel-incorporated large-diameter Czochralski-grown silicon and their effect on gate oxide reliability. ECS J. Solid State Sci. Technol. 5, P3008–P3012 (2016). https://doi.org/10.1149/2.0021604jss

    Article  CAS  Google Scholar 

  24. A. Ohsawa, K. Honda, N. Toyokura, Metal impurities near the SiO2-Si interface. J. Electrochem. Soc. 131, 2964–2969 (1984). https://doi.org/10.1149/1.2115451

    Article  CAS  Google Scholar 

  25. K. Hiramoto, M. Sano, S. Sadamitsu, N. Fujino, Degradation of gate oxide integrity by metal impurities. Jpn. J. Appl. Phys. 28, L2109–L2111 (1989). https://doi.org/10.1143/JJAP.28.L2109

    Article  CAS  Google Scholar 

  26. H. Wendt, H. Cerva, V. Lehmann, W. Pamler, Impact of copper contamination on the quality of silicon oxides. J. Appl. Phys. 65, 2402–2405 (1989). https://doi.org/10.1063/1.342808

    Article  CAS  Google Scholar 

  27. A. Correia, D. Ballutaud, J.-L. Maurice, Electron microscopy study of oxidation-induced defects at the silicon-silicon-dioxide interface. Mater. Sci. Eng. B 18, 269–274 (1993). https://doi.org/10.1016/0921-5107(93)90142-A

    Article  Google Scholar 

  28. A. Correia, D. Ballutaud, J.-L. Maurice, Copper precipitation at the silicon-silicon-dioxide interface: role of oxygen. Jpn. J. Appl. Phys. 33, 1217–1222 (1994). https://doi.org/10.1143/JJAP.33.1217

    Article  CAS  Google Scholar 

  29. B.O. Kolbesen, H. Cerva, Defects due to metal silicide precipitation in microelectronic device manufacturing: the unlovely face of transition metal silicides. Phys. Status Solidi B 222, 303–317 (2000). https://doi.org/10.1002/1521-3951(200011)222<301::AID-PSSB303>3.0.CO;2-H

  30. J.D. McBrayer, R.M. Swanson, T.W. Sigmon, Diffusion of metals in silicon dioxide. J. Electrochem. Soc. 133, 1242–1246 (1986). https://doi.org/10.1149/1.2108827

    Article  CAS  Google Scholar 

  31. J.-Y. Kwon, K.-S. Kim, Y.-C. Joo, K.-B. Kim, Simulation of copper diffusion profile in SiO2 during bias temperature stress (BTS) test. Jpn. J. Appl. Phys. 41, L99–L101 (2002). https://doi.org/10.1143/JJAP.41.L99

    Article  CAS  Google Scholar 

  32. P. Bai, G.R. Yang, T.M. Lu, Intrinsic Cu gettering at a thermally grown SiO2/Si interface. J. Appl. Phys. 88, 3313–3316 (1990). https://doi.org/10.1063/1.346383

    Article  Google Scholar 

  33. L. Zhong, F. Shimura, Substitutional diffusion of transition metal impurities in silicon. Jpn. J. Appl. Phys. 32, L1113–L1116 (1993). https://doi.org/10.1143/JJAP.32.L1113

    Article  Google Scholar 

  34. K. Hozawa, T. Itoga, S. Isomae, J. Yugami, M. Ohkura, Copper distribution behavior near a SiO2/Si interface by low-temperature (<400 °C) annealing and its influence on electrical characteristics of MOS capacitors, in VLSI Technology Digest of Technical Papers, pp. 24–25 (2002). https://doi.org/10.1143/jjap.41.5887

  35. K. Hozawa, S. Isomae, J. Yugani, Copper distribution near a SiO2/Si interface under low-temperature annealing. Jpn. J. Appl. Phys. 41, 5887–5893 (2002). https://doi.org/10.1143/JJAP.41.5887

    Article  CAS  Google Scholar 

  36. A. Kohn, E. Lipp, M. Eizenberg, Y. Shacham-Diamand, Copper-related degradation of SiO2 in metal-oxide-semiconductor capacitors subjected to bias thermal stress: leakage of the minority charge carriers in the inversion layer. Appl. Phys. Lett. 85, 627–629 (2004). https://doi.org/10.1063/1.1773925

    Article  CAS  Google Scholar 

  37. A.M. Hoff, S. Aravamudhan, A. Isti, E.I. Oborina, Degradation of oxide properties caused by low-level metallic contamination. J. Electrochem. Soc. 154, H977–H982 (2007). https://doi.org/10.1149/1.2779959

    Article  CAS  Google Scholar 

  38. J.M.E. Harper, A. Charai, L. Stolt, F.M. d’Heurle, P.M. Fryer, Room-temperature oxidation of silicon catalyzed by Cu3Si. Appl. Phys. Lett. 56, 2519–2521 (1990). https://doi.org/10.1063/1.103260

    Article  CAS  Google Scholar 

  39. M. Setton, J. Van der Spiegel, B. Rothman, Copper silicide formation by rapid thermal processing and induced room temperature Si oxide growth. Appl. Phys. Lett. 57, 357–359 (1990). https://doi.org/10.1063/1.104105

    Article  CAS  Google Scholar 

  40. D. Gräf, M. Grundner, L. Mühlhoff, M. Dellith, Influence of Cu on native oxide growth of Si. J. Appl. Phys. 69, 7620–7626 (1991). https://doi.org/10.1063/1.347531

    Article  Google Scholar 

  41. D. Gupta, K. Vieregge, K.V. Srikrishnan, Copper diffusion in amorphous thin films of 4% phosphorus-silicate glass and hydrogenated silicon nitride. Appl. Phys. Lett. 61, 2178–2180 (1992). https://doi.org/10.1063/1.108287

    Article  CAS  Google Scholar 

  42. H. Miyazaki, H. Kojima, A. Hiraiwa, Y. Homma, K. Murakami, Phosphosilicate glass passivation for ULSI Cu metallization. J. Electrochem. Soc. 139, 3264–3267 (1992). https://doi.org/10.1149/1.2069064

    Article  CAS  Google Scholar 

  43. M. Vogt, M. Kachel, M. Plötner, K. Drescher, Dielectric barriers for Cu metallization systems. Microelectron. Eng. 37(38), 181–187 (1997). https://doi.org/10.1016/S6167-9317(97)00110-X

    Article  Google Scholar 

  44. H. Miyazaki, H. Kojima, K. Hinode, Passivation effect of silicon nitride against copper diffusion. J. Appl. Phys. 81, 7746–7750 (1997). https://doi.org/10.1063/1.365380

    Article  CAS  Google Scholar 

  45. A.L.S. Loke, C. Ryu, C.P. Yue, J.S.H. Cho, S.S. Wong, Kinetics of copper drift in PECVD dielectrics. IEEE Electron Dev. Lett. 17, 549–551 (1996). https://doi.org/10.1109/55.545766

    Article  CAS  Google Scholar 

  46. A.L.S. Loke, J.T. Wetzel, P.H. Townsend, T. Tanabe, R.N. Vrtis, M.P. Zussman, D. Kumar, C. Ryu, S.S. Wong, Kinetics of copper drift in low-κ polymer interlevel dielectrics. IEEE Trans. Electron Dev. 46, 2178–2187 (1999). https://doi.org/10.1109/16.796294

    Article  CAS  Google Scholar 

  47. P. Motte, J. Torres, J. Palleau, F. Tardif, H. Bernard, Study of Cu contamination during copper integration for subquarter micron technology. Solid State Electron. 43, 1015–1018 (1999). https://doi.org/10.1016/S0038-1101(99)00017-9

    Article  CAS  Google Scholar 

  48. H. Cui, I.B. Bhat, S.P. Murarka, H. Lu, W.-J. Hsia, W. Catabay, Copper drift in methyl-doped silicon oxide film. J. Vac. Sci. Technol., B 20, 1987–1993 (2002). https://doi.org/10.1116/1.1503779

    Article  CAS  Google Scholar 

  49. K.-S. Kim, Y.-C. Joo, K.-B. Kim, J.-Y. Kwon, Extraction of Cu diffusivities in dielectric materials by numerical calculation and capacitance-voltage measurement. J. Appl. Phys. 100, 063517/1-6 (2006). https://doi.org/10.1063/1.2353891

    Article  CAS  Google Scholar 

  50. R. Ali, S.W. King, M. Orlowski, Modeling and simulation of Cu drift in porous low-k dielectrics. ECS Trans. 80(1), 327–337 (2017). https://doi.org/10.1149/08001.0327ecst

    Article  Google Scholar 

  51. S. Verhaverbeke, M. Meuris, P.W. Mertens, M.M. Heyns, A. Philipossian, D. Gräf, A. Schnegg, The effect of metallic impurities on the dielectric breakdown of oxides and some new ways of avoiding them, in Technical Digest of the IEEE International Electron Devices Meeting—IEDM91 (1991), pp. 71–74. https://doi.org/10.1109/iedm.1991.235421

  52. R. Hölzl, A. Huber, L. Fabry, K.-J. Range, M. Blietz, Integrity of ultrathin gate oxides with different oxide thickness, substrate wafers and metallic contaminations. Appl. Phys. A 72, 351–356 (2001). https://doi.org/10.1007/s003390000721

    Article  Google Scholar 

  53. D.A. Ramappa, W.B. Henley, Effects of copper contamination in silicon on thin oxide breakdown. J. Electrochem. Soc. 146, 2258–2260 (1999). https://doi.org/10.1149/1.1391924

    Article  CAS  Google Scholar 

  54. Y.H. Lin, Y.H. Wu, A. Chin, F.M. Pan, The effect of copper on gate oxide integrity. J. Electrochem. Soc. 147, 4305–4306 (2000). https://doi.org/10.1149/1.1394059

    Article  CAS  Google Scholar 

  55. Y.H. Lin, Y.C. Chen, K.T. Chan, F.M. Pan, I.J. Hsieh, A. Chin, The strong degradation of 30 Å gate oxide integrity contaminated by copper. J. Electrochem. Soc. 148, F73–F76 (2001). https://doi.org/10.1149/1.1357182

    Article  CAS  Google Scholar 

  56. K. Hozawa, H. Miyazaki, J. Yugami, True influence of wafer-backside copper contamination during the back-end process on device characteristics, in Technical Digest of the IEEE International Electron Devices Meeting—IEDM02 (2002), pp. 737–740. https://doi.org/10.1109/iedm.2002.1175943

  57. K.-S. Lee, E.-H. Kim, Y.-H. Kim, B.-Y. Lee, H.-D. Yoo, Effects of surface roughness and copper contamination on the oxide breakdown of silicon wafer. Jpn. J. Appl. Phys. 41, 3662–3668 (2002). https://doi.org/10.1143/JJAP.41.3662

    Article  CAS  Google Scholar 

  58. N. Tokuda, T. Kanda, S. Yamasaki, K. Miki, K. Yamabe, Local electrical characteristics of Cu-contaminated SiO2 thin films. Electrochem. Soc. Proc. 2002(20), 311–319 (2002). https://doi.org/10.4028/www.scientific.net/SSP.95-96.641

  59. N. Tokuda, T. Kanda, S. Yamasaki, K. Miki, K. Yamabe, Leakage current distribution of Cu-contaminated thin SiO2. Jpn. J. Appl. Phys. 42, L160–L162 (2003). https://doi.org/10.1143/JJAP.42.L160

    Article  CAS  Google Scholar 

  60. N. Tokuda, S. Nishiguchi, S. Yamasaki, K. Miki, K. Yamabe, Leakage current distribution and dielectric breakdown of Cu-contaminated thin SiO2. J. Electrochem. Soc. 151, F81–F86 (2004). https://doi.org/10.1149/1.1649984

    Article  CAS  Google Scholar 

  61. C.S. Liu, L.J. Chen, Catalytic oxidation of (001)Si in the presence of Cu3Si at room temperature. J. Appl. Phys. 74, 3611–3613 (1993). https://doi.org/10.1063/1.354499

    Article  CAS  Google Scholar 

  62. C.S. Liu, L.J. Chen, Room-temperature oxidation of silicon in the presence of Cu3Si. Thin Solid Films 262, 187–198 (1995). https://doi.org/10.1016/0040-6090(95)05814-1

    Article  CAS  Google Scholar 

  63. Y.H. Lin, F.M. Pan, Y.C. Liao, Y.C. Chen, I.J. Hsieh, A. Chin, Cu contamination effect in oxynitride gate dielectrics. J. Electrochem. Soc. 148, G627–G629 (2001). https://doi.org/10.1149/1.140784

    Article  CAS  Google Scholar 

  64. K. Matsukawa, H. Yamamoto, Y. Mashiko, A study of metal impurities behavior due to difference in isolation structure on ULSI devices. Jpn. J. Appl. Phys. 41, 5900–5903 (2002). https://doi.org/10.1143/JJAP.41.5900

    Article  CAS  Google Scholar 

  65. C.C. Liao, C.F. Cheng, D.S. Yu, A. Chin, The copper contamination effect of Al2O3 gate dielectric on Si. J. Electrochem. Soc. 151, G693–G696 (2004). https://doi.org/10.1149/1.1789391

    Article  CAS  Google Scholar 

  66. J. Bea, K. Lee, T. Fukushima, T. Tanaka, M. Koyanagi, Evaluation of Cu contamination at backside surface of thinned wafer in 3-D integration by transient-capacitance measurement. IEEE Electron Dev. Lett. 32, 66–68 (2011). https://doi.org/10.1109/LED.2010.2087004

    Article  CAS  Google Scholar 

  67. K.-W. Lee, J.-C. Bea, T. Fukushima, T. Tanaka, M. Koyanagi, Electrical evaluation of Cu contamination behavior at the backside surface of a thinned wafer by transient capacitance measurement. Semicond. Sci. Technol. 26, 025007/1-4 (2011). https://doi.org/10.1088/0268-1242/26/2/025007

    Article  CAS  Google Scholar 

  68. J. Bea, K. Lee, T. Fukushima, T. Tanaka, M. Koyanagi, Evaluation of Cu diffusion from Cu through-silicon via (TSV) in three-dimensional LSI by transient capacitance measurement. IEEE Electron Dev. Lett. 32, 940–942 (2011). https://doi.org/10.1109/LED.2011.2141109

    Article  CAS  Google Scholar 

  69. K.W. Lee, J.-C. Bea, T. Fukushima, T. Tanaka, M. Koyanagi, Cu retardation performance of extrinsic gettering layers in thinned wafers evaluated by transient capacitance measurement. J. Electrochem. Soc. 158, H795–H799 (2011). https://doi.org/10.1149/1.3597317

    Article  CAS  Google Scholar 

  70. K. Lee, J. Bea, Y. Ohara, T. Fukushima, T. Tanaka, M. Koyanagi, Impact of Cu diffusion from Cu through-silicon via (TSV) on device reliability in 3-D LSIs evaluated by transient capacitance measurement, in Proceedings of the IEEE International Reliability Physics Symposium—IRPS12 (2012), pp. 2B.4.1–2B.4.6. https://doi.org/10.1109/irps.2012.6241777

  71. K.-W. Lee, J.-C. Bea, Y. Ohara, M. Murugesan, T. Fukushima, T. Tanaka, M. Koyanagi, Impacts of Cu contamination on device reliabilities in 3-D IC integration. IEEE Trans. Dev. Mater. Reliab. 14, 451–462 (2014). https://doi.org/10.1109/TDMR.2013.2258022

  72. L. Lee, H.G. Parks, R.D. Schrimpf, Interpretation of experimentally observed C-t responses for copper contaminated devices. Solid State Electron. 39, 369–375 (1996). https://doi.org/10.1016/0038-1101(95)00133-6

    Article  CAS  Google Scholar 

  73. Y. Mizushima, H. Kitada, K. Koshikawa, S. Suzuki, T. Nakamura, T. Ohba, Novel through silicon vias leakage current evaluation using infrared-optical beam irradiation. Jpn. J. Appl. Phys. 51, 05EE03/1-4 (2012). https://doi.org/10.1143/jjap.51.05ee03

    Article  Google Scholar 

  74. K.-D. Kim, K.-K. Kim, M.-S. Yoo, Y.-T. Kim, S.-K. Park, S.-J. Hong, C.-H. Park, B.-G. Park, J.-H. Lee, Novel method to characterize the effect from the diffusion of Cu in through silicon via (TSV), in Technical Digest of the IEEE International Electron Devices MeetingIEDM15 (2015), pp. 157–160. https://doi.org/10.1109/iedm.2015.7409645

  75. J.W. Chan, C.S. Tan, K.C. Lee, X. Cheng, W. Kanert, Observations of copper (Cu) transport in through-silicon vias (TSV) structure by electrical characterization for its reliability evaluation, in Proceedings of IEEE International Reliability Physics Symposium (2017), pp. 4A-3.1–4A-3.6. https://doi.org/10.1109/irps.2017.7936303

  76. M.S. Angyal, Y. Shacham-Diamand, J.S. Reid, M.A. Nicolet, Performance of tantalum-silicon-nitride diffusion barriers between copper and silicon dioxide. Appl. Phys. Lett. 67, 2152–2154 (1995). https://doi.org/10.1063/1.114750

    Article  CAS  Google Scholar 

  77. S.-K. Rha, S.-Y. Lee, W.-J. Lee, Y.-S. Hwang, C.-O. Park, D.W. Kim, Y.-S. Lee, C.-N. Whang, Characterization of TiN barriers against Cu diffusion by capacitance-voltage measurement. J. Vac. Sci. Technol., B 16, 2019–2026 (1998). https://doi.org/10.1116/1.590123

    Article  CAS  Google Scholar 

  78. S. Hymes, K.S. Kumar, S.P. Murarka, P.J. Ding, W. Wang, W.A. Lanford, Thermal stability of copper silicide passivation layers in copper-based multilevel interconnects. J. Appl. Phys. 83, 4507–4512 (1998). https://doi.org/10.1063/1.367235

    Article  CAS  Google Scholar 

  79. K. Takeda, D. Ryuzaki, T. Mine, K. Hinode, R. Yoneyama, Copper blocking ability of nitrogen-incorporated silicon oxide film. J. Vac. Sci. Technol. B 21, 1323–1328 (2003). https://doi.org/10.1116/1.1587141

    Article  CAS  Google Scholar 

  80. S. Rawal, D.P. Norton, K.C. Kim, T.J. Anderson, L. McElwee-White, Ge/HfNx diffusion barrier for Cu metallization on Si. Appl. Phys. Lett. 89, 231914/1-3 (2006). https://doi.org/10.1063/1.2400071

  81. B.-S. Nguyen, J.-F. Lin, D.-C. Perng, 1-nm-thick graphene tri-layer as the ultimate copper diffusion barrier. Appl. Phys. Lett. 104, 082105/1-5 (2014). https://doi.org/10.1063/1.4866857

    Article  CAS  Google Scholar 

  82. C.-L. Lo, K.H. Smithe, R. Mehta, S. Chugh, E. Pop, Z. Chen, Atomically thin diffusion barriers for ultra-scaled Cu interconnects implemented by 2D materials, in Proceedings of IEEE International Reliability Physics Symposium (2017), pp. MR-4.1–MR-4.4. https://doi.org/10.1109/irps.2017.7936379

  83. R. Gonella, Key reliability issues for copper integration in damascene architecture. Microelectron. Eng. 55, 245–255 (2001). https://doi.org/10.1016/S0167-9317(00)00454-8

    Article  CAS  Google Scholar 

  84. J. Noguchi, Dominant factors in TDDB degradation of Cu interconnects. IEEE Trans. Electron Dev. 52, 1743–1750 (2005). https://doi.org/10.1109/TED.2005.851849

    Article  CAS  Google Scholar 

  85. W. Wu, X. Duan, J.S. Yuan, A physical model of time-dependent dielectric breakdown in copper metallization, in Proceedings of IEEE International Reliability Physics SymposiumIRPS03 (2003), pp. 282–286. https://doi.org/10.1109/relphy.2003.1197758

  86. K. Takeda, D. Ryuzaki, T. Mine, K. Hinode, R. Yoneyama, Copper-induced dielectric breakdown in silicon oxide deposited by plasma-enhanced chemical vapor deposition using trimethoxysilane. J. Appl. Phys. 94, 2572–2578 (2003). https://doi.org/10.1063/1.1594812

    Article  CAS  Google Scholar 

  87. H. Cui, P.A. Burke, Time-dependent dielectric breakdown of hydrogenated silicon carbon nitride thin films under the influence of copper. Appl. Phys. Lett. 84, 2629–2631 (2004). https://doi.org/10.1063/1.1703839

    Article  CAS  Google Scholar 

  88. J.R. Lloyd, E. Liniger, T.M. Shaw, Simple model for time-dependent dielectric breakdown in inter- and intralevel low-k dielectrics. J. Appl. Phys. 98, 084109/1-6 (2005). https://doi.org/10.1063/1.2112171

    Article  CAS  Google Scholar 

  89. J.R. Lloyd, C.E. Murray, S. Ponoth, S. Cohen, E. Liniger, The effect of Cu diffusion on the TDDB behavior in a low-k interlevel dielectrics. Microelectron. Reliab. 46, 1643–1647 (2006). https://doi.org/10.1016/j.microrel.2006.08.003

    Article  CAS  Google Scholar 

  90. N. Suzumura, S. Yamamoto, D. Kodama, K. Makabe, J. Komori, E. Murakami, S. Maegawa, K. Kubota, A new TDDB degradation model based on Cu ion drift in Cu interconnect dielectrics, in Proceedings of IEEE International Reliability Physics SymposiumIRPS06 (2006), pp. 484–489. https://doi.org/10.1109/relphy.2006.251266

  91. F. Chen, O. Bravo, K. Chanda, P. McLaughlin, T. Sullivan, J. Gill, J. Lloyd, R. Kontra, J. Aitken, A comprehensive study of low-k SiCOH TDDB phenomena and its reliability lifetime model development, in Proceedings of IEEE International Reliability Physics SymposiumIRPS06 (2006), pp. 46–53. https://doi.org/10.1109/relphy.2006.251190

  92. R.S. Achanta, J.L. Plawsky, W.N. Gill, A time dependent dielectric breakdown model for field accelerated low-k breakdown due to copper ions. Appl. Phys. Lett. 91, 234106/1-3 (2007). https://doi.org/10.1063/1.2823576

    Article  CAS  Google Scholar 

  93. S.S. Hwang, S.-Y. Jup, Y.-C. Joo, The electric field dependence of Cu migration induced dielectric failure in interlayer dielectric for integrated circuits. J. Appl. Phys. 101, 074501/1-5 (2007). https://doi.org/10.1063/1.2714668

    Article  CAS  Google Scholar 

  94. L. Zhao, Z. Tökei, K. Croes, C.J. Wilson, M. Baklanov, G.P. Beyer, C. Claeys, Direct observation of the 1/E dependence of time dependent dielectric breakdown in the presence of copper. Appl. Phys. Lett. 98, 032107/1-3 (2011). https://doi.org/10.1063/1.3543850

    Article  CAS  Google Scholar 

  95. F. Chen, M. Shinosky, J. Aitken, C.-C. Yang, D. Edelstein, Invasion percolation model for abnormal time-dependent dielectric breakdown characteristics of low-k dielectrics due to massive metallic diffusion. Appl. Phys. Lett. 101, 242904/1-4 (2012). https://doi.org/10.1063/1.4770318

    Article  CAS  Google Scholar 

  96. F. Chen, M. Shinosky, J. Aitken, C.-C. Yang, D. Edelstein, Temperature and field interrelation study of low-k TDDB for Cu interconnects with and without liner—new insights to the roles of Cu for a competing breakdown process, in Proceedings IEEE of International Reliability Physics SymposiumIRPS13 (2013), pp. 2F.2.1–2F.2.7. https://doi.org/10.1109/irps.2013.6531967

  97. S.-Y. Jung, B.-J. Kim, N.-Y. Lee, B.-M. Kim, S.J. Yeom, N.J. Kwak, Y.-C. Joo, Bias polarity and frequency effects of Cu-induced dielectric breakdown in damascene Cu interconnects. Microelectron. Eng. 89, 58–61 (2012). https://doi.org/10.1016/j.mee.2011.01.070

    Article  CAS  Google Scholar 

  98. Y.-L. Cheng, Y.-L. Huang, C.-R. Sun, W.-H. Lee, G.-S. Chen, J.-S. Fang, Effect of Cu drift on dielectric breakdown for porous low dielectric constant film under static and dynamic stress. ECS Trans. 72(2), 241–252 (2016). https://doi.org/10.1149/07202.0241ecst

    Article  CAS  Google Scholar 

  99. A. Goetzberger, W. Shockley, Metal precipitates in silicon p-n junctions. J. Appl. Phys. 31, 1821–1824 (1960). https://doi.org/10.1063/1.1735455

    Article  CAS  Google Scholar 

  100. J.E. Lawrence, Electrical properties of copper segregates in silicon p-n junctions. J. Electrochem. Soc. 112, 796–800 (1965). https://doi.org/10.1149/1.242369

    Article  CAS  Google Scholar 

  101. R. Böhm, H. Klose, Copper in silicon n+-p junctions. Phys. Status Solidi A 9, K165–K168 (1972). https://doi.org/10.1002/pssa.221009059

    Article  Google Scholar 

  102. H.H. Busta, H.A. Waggener, Precipitation-induced currents and generation-recombination currents in intentionally contaminated silicon p+n junctions. J. Electrochem. Soc. 124, 1424–1429 (1977). https://doi.org/10.1149/1.2133667

    Article  CAS  Google Scholar 

  103. R.W. Hamaker, Z.C. Putney, R.L. Ayers, P.H. Smith, Degradation mechanism for silicon p+-n junctions under forward bias. Solid State Electron. 24, 1001–1008 (1981). https://doi.org/10.1016/0038-1101(81)90127-1

    Article  CAS  Google Scholar 

  104. K.V. Ravi, C.J. Varker, C.E. Volk, Electrically active stacking faults in silicon. J. Electrochem. Soc. 120, 533–541 (1973). https://doi.org/10.1149/1.2403495

    Article  CAS  Google Scholar 

  105. M. Miyazaki, M. Sano, S. Sumita, N. Fujino, Influence of metal impurities on leakage current of Si n+p diode. Jpn. J. Appl. Phys. 30, L295–L297 (1991). https://doi.org/10.1143/JJAP.30.L295

    Article  CAS  Google Scholar 

  106. H.G. Parks, R.D. Schrimpf, B. Craigin, R. Jones, P. Resnick, Quantifying the impact of homogeneous metal contamination using test structure metrology and device modeling. IEEE Trans. Semicond. Manufact. 7, 249–258 (1994). https://doi.org/10.1109/66.311326

    Article  Google Scholar 

  107. B. Vermeire, L. Lee, H.G. Parks, The effect of copper contamination on field overlap edges and perimeter junction leakage current. IEEE Trans. Semicond. Manufact. 11, 232–238 (1998). https://doi.org/10.1109/66.670169

    Article  Google Scholar 

  108. A.A. Istratov, E.R. Weber, Physics of copper in silicon. J. Electrochem. Soc. 149, G21–G30 (2002). https://doi.org/10.1149/1.1421348

    Article  CAS  Google Scholar 

  109. C.C. Wang, H.-H. Lin, M.-C. Chen, Thermal stability of Cu/NiSi-contacted p+n shallow junction. Jpn. J. Appl. Phys. 43, 5997–6000 (2004). https://doi.org/10.1143/JJAP.43.5997

    Article  CAS  Google Scholar 

  110. A.A. Istratov, C. Flink, E.R. Weber, Impact of the unique physical properties of copper in silicon on characterization of copper diffusion barriers. Phys. Status Solidi B 222, 261–277 (2000). https://doi.org/10.1002/1521-3951(20011)222:1<261:aid-pssb261>3.0.co;2-5

    Article  CAS  Google Scholar 

  111. E. Kolawa, P.J. Pokela, J.S. Reid, J.S. Chen, R.P. Ruiz, M.A. Nicolet, Sputtered Ta-Si-N diffusion barriers in Cu metallizations for Si. IEEE Electron Dev. Lett. 12, 321–323 (1991). https://doi.org/10.1109/55.82074

    Article  CAS  Google Scholar 

  112. E. Kolawa, J.S. Chen, J.S. Reid, P.J. Pokela, M.A. Nicolet, Tantalum-based diffusion barriers in Si/Cu VLSI metallizations. J. Appl. Phys. 70, 1369–1373 (1991). https://doi.org/10.1063/1.349594

    Article  CAS  Google Scholar 

  113. T.-S. Chang, W.-C. Wang, L.-P. Wang, J.-C. Hwang, F.-S. Huang, Thermal stability study of TiN/TiSi2 diffusion barrier between Cu and n+Si. J. Appl. Phys. 75, 7847–7851 (1994). https://doi.org/10.1063/1.356568

    Article  CAS  Google Scholar 

  114. K.-M. Chang, T.-H. Yeh, I.-C. Deng, C.-W. Shih, Amorphous like chemical vapor deposited tungsten diffusion barrier for copper metallization and effects of nitrogen addition. J. Appl. Phys. 82, 1469–1475 (1997). https://doi.org/10.1063/1.365925

    Article  CAS  Google Scholar 

  115. J. Baumann, Ch. Kaufmann, M. Rennau, T. Werner, T. Gessner, Investigation of copper metallization induced failure of diode structures with and without a barrier layer. Microelectron. Eng. 33, 283–291 (1997). https://doi.org/10.1016/S0167-9317(96)00056-1

  116. M.T. Wang, Y.C. Lin, M.C. Chen, Barrier properties of very thin Ta and TaN layers against copper diffusion. J. Electrochem. Soc. 145, 2538–2545 (1998). https://doi.org/10.1149/1.1838675

    Article  CAS  Google Scholar 

  117. S. Nakao, M. Numata, T. Ohmi, Thin and low-resistivity tantalum nitride diffusion barrier and giant-grain copper interconnects for advanced ULSI metallization. Jpn. J. Appl. Phys. 38, 2401–2405 (1999). https://doi.org/10.1143/JJAP.38.2401

    Article  CAS  Google Scholar 

  118. J.-C. Chuang, S.-L. Tun, M.-C. Chen, Rapid thermal annealed Cr barrier against Cu diffusion. J. Electrochem. Soc. 146, 2643–2647 (1999). https://doi.org/10.1149/1.1391985

    Article  CAS  Google Scholar 

  119. M.T. Wang, L.J. Chen, M.C. Chen, Barrier capabilities of selective chemical vapor deposited W films and WSiN/WSix/W stacked layers against copper diffusion. J. Electrochem. Soc. 146, 728–734 (1999). https://doi.org/10.1149/1.1391671

    Article  CAS  Google Scholar 

  120. P.-T. Liu, T.-C. Chang, J.C. Hu, Y.L. Yang, S.M. Sze, Reliability of multistacked chemical vapor deposited Ti/TiN structure as the diffusion barrier in ultralarge scale integrated metallization. J. Electrochem. Soc. 147, 368–372 (2000). https://doi.org/10.1149/1.1393202

    Article  CAS  Google Scholar 

  121. G. Van den bosch, S. Demuynck, Z. Tökei, G. Beyer, M. Van Hove, G. Groeseneken, Impact of copper contacts on CMOS front-end yield and reliability, in Technical Digest IEEE International Electron Devices MeetingIEDM06 (2006), pp. 1–4. https://doi.org/10.1109/iedm.2006.346967

  122. Y. Yang, R. Labie, O. Richard, H. Bender, C. Zhao, B. Verlinden, I. De Wolf, The impact of back-side Cu contamination on 3D stacking architecture. Electrochem. Solid State Lett. 13, H39–H41 (2010). https://doi.org/10.1149/1.3269603

    Article  CAS  Google Scholar 

  123. H.W. Yeon, S.-Y. Jung, J. Lim, J. Pyun, H. Kim, D. Baek, Y.-C. Joo, Cu contamination of the nMOSFET in a 3-D integrated circuit under thermal and electrical stress. Electrochem. Solid State Lett. 15, H157–H160 (2012). https://doi.org/10.1149/2.018205esl

    Article  CAS  Google Scholar 

  124. Y.-J. Chang, C.-T. Ko, T.-H. Yu, C.-H. Chiang, K.N. Chen, Backside-process-induced junction leakage and process improvement of Cu TSV based on Cu/Sn and BCB hybrid bonding. IEEE Electron Dev. Lett. 34, 435–437 (2013). https://doi.org/10.1109/LED.2013.2238213

    Article  CAS  Google Scholar 

  125. E. Simoen, P. Clauws, J. Broeckx, J. Vennik, M. Van Sande, L. De Laet, Correlation between DLTS-measurements and the performance of high purity germanium detectors. IEEE Trans. Nucl. Sci. NS-29, 789–792 (1982). https://doi.org/10.1109/tns.1982.4335960

    Article  Google Scholar 

  126. E. Simoen, P. Clauws, G. Huylebroeck, J. Vennik, Correlation between deep-level parameters and energy resolution of p-type high purity Ge γ-detectors. Nucl. Instrum. Meth. Phys. Res. A 251, 519–526 (1986). https://doi.org/10.1016/0168-9002(86)90646-7

    Article  Google Scholar 

  127. L.K.J. Vandamme, E.P. Vandamme, J.J. Dobbelsteen, Impact of silicon substrate, iron contamination and perimeter on saturation current and noise in n+p diodes. Solid State Electron. 41, 901–908 (1997). https://doi.org/10.1016/S0038-1101(97)00023-3

    Article  CAS  Google Scholar 

  128. S.K. Ghandhi, K.E. Mortensen, J.N. Park, Impact ionization devices. IEEE Trans. Electron Dev. 13, 515–519 (1966). https://doi.org/10.1109/t-ed.1966.15723

    Article  Google Scholar 

  129. A.A. Lebedev, A.T. Mamadalimov, N.A. Sultanov, Principal parameters of diodes made of nickel-doped silicon. Sov. Phys. Semicond. 5, 1990–1993 (1972)

    Google Scholar 

  130. N. Holonyak Jr., S.F. Bevacqua, Oscillations in semiconductors due to deep levels. Appl. Phys. Lett. 2, 71–73 (1963). https://doi.org/10.1063/1.1753780

    Article  CAS  Google Scholar 

  131. S.K. Ghandhi, K.E. Mortenson, J.N. Park, Impact ionization in cobalt-doped silicon. Proc. IEEE, 635 (1965). https://doi.org/10.1109/proc.1965.3949

  132. J.S. Moore, C.M. Penchina, N. Holonyak Jr., M.D. Sirkis, T. Yamada, Electrical oscillations in silicon compensated with deep levels. J. Appl. Phys. 37, 2009–2013 (1966). https://doi.org/10.1063/1.1708661

    Article  CAS  Google Scholar 

  133. J. Amano, K. Nauka, M.P. Scott, J.E. Turner, R. Tsai, Junction leakage in titanium self-aligned silicide devices. Appl. Phys. Lett. 49, 737–739 (1986). https://doi.org/10.1063/1.97584

    Article  CAS  Google Scholar 

  134. M. Delfino, A.E. Morgan, E.K. Broadbent, P. Maillot, D.K. Sadana, Effect of post-silicidation annealing on TiSi2/p+-n Si junctions. J. Appl. Phys. 62, 1882–1886 (1987). https://doi.org/10.1063/1.339573

    Article  CAS  Google Scholar 

  135. J. Lin, S. Banerjee, J. Lee, C. Teng, Soft breakdown in titanium-silicided shallow source/drain junctions. IEEE Electron Dev. Lett. 11, 191–193 (1990). https://doi.org/10.1109/55.55246

    Article  CAS  Google Scholar 

  136. M. Ada-Hanifi, A. Chantre, D. Levy, J.P. Gonchond, Ph. Delpech, A. Nouailhat, Leakage mechanisms of titanium silicided n+/p junctions fabricated using rapid thermal processing. Appl. Phys. Lett. 58, 1280–1282 (1991). https://doi.org/10.1063/1.104336

    Article  CAS  Google Scholar 

  137. R. Liu, D.S. Williams, W.T. Lynch, A study of the leakage mechanisms of silicided n+/p junctions. J. Appl. Phys. 63, 1990–1999 (1988). https://doi.org/10.1063/1.341099

    Article  Google Scholar 

  138. H.C. Cheng, M.H. Juang, C.T. Lin, L.M. Huang, A silicidation-induced process consideration for forming scaled-down silicided junctions. IEEE Electron Dev. Lett. 15, 342–344 (1994). https://doi.org/10.1109/311128

    Article  CAS  Google Scholar 

  139. K. Goto, A. Fushida, J. Watanabe, T. Sukegawa, K. Kawamura, T. Yamazaki, T. Sugii, Leakage mechanism and optimized conditions for Co salicide process for deep-submicron CMOS devices, in Technical Digest IEEE International Electron Devices Meeting (1995), pp. 449–452. https://doi.org/10.1109/iedm.1995.499235

  140. K. Goto, A. Fushida, J. Watanabe, T. Sukegawa, Y. Tada, T. Nakamura, T. Yamazaki, T. Sugii, A new leakage mechanism of Co salicide and optimized process conditions. IEEE Trans. Electron Dev. 46, 117–124 (1999). https://doi.org/10.1109/16.737449

    Article  CAS  Google Scholar 

  141. E. Simoen, G. Eneman, M. Bargallo Gonzalez, D. Kobayashi, A. Luque Rodríguez, J.-A. Jiménez Tejada, C. Claeys, High doping density/high electric field, stress and heterojunction effects on the characteristics of CMOS compatible p-n junctions. J. Electrochem. Soc. 158, R27–R36 (2011). https://doi.org/10.1149/1.3555103

    Article  CAS  Google Scholar 

  142. H.-D. Lee, M.-S. Bae, H.-H. Ji, K.-M. Lee, S.-H. Park, M.-J. Jang, J.-H. Lee, K.-S. Yoon, J.-H. Choi, G.-S. Park, K.-K. Kang, Y.-J. Park, Characterization of the Co-silicide penetration depth into the junction area for 0.15 and sub-0.15 micron CMOS technology. Jpn. J. Appl. Phys. 41, 2445–2449 (2002). https://doi.org/10.1143/JJAP.41.2445

    Article  CAS  Google Scholar 

  143. M. Tsuchiaki, C. Hongo, A. Takashima, K. Ohuchi, Intrinsic junction leakage generated by cobalt in-diffusion during CoSi2 formation. Jpn. J. Appl. Phys. 41, 2437–2444 (2002). https://doi.org/10.1143/JJAP.41.2437

    Article  CAS  Google Scholar 

  144. M. Tsuchiaki, A. Murakoshi, C. Hongo, Systematic investigation of leakage suppression by pre-silicide implantation for CoSi2 formation on shallow n+/p Si diodes. Jpn. J. Appl. Phys. 42, 1847–1854 (2003). https://doi.org/10.1143/JJAP.42.1847

    Article  CAS  Google Scholar 

  145. W.D. Chen, Y.D. Cui, C.C. Hsu, J. Tao, Interaction of Co with Si and SiO2 during rapid thermal annealing. J. Appl. Phys. 69, 7612–7619 (1991). https://doi.org/10.1063/1.347530

    Article  CAS  Google Scholar 

  146. C.-C. Wang, C.-J. Lin, M.-C. Chen, Formation of NiSi-silicided p+n shallow junctions using implant-through-silicide and low-temperature furnace annealing. J. Electrochem. Soc. 150, G557–G562 (2003). https://doi.org/10.1149/1.1599851

    Article  CAS  Google Scholar 

  147. M. Tsuchiaki, K. Ohuchi, C. Hongo, Junction leakage generation by NiSi thermal instability characterized using damage-free n+/p silicon diodes. Jpn. J. Appl. Phys. 43, 5166–5173 (2004). https://doi.org/10.1143/JJAP.43.5166

    Article  CAS  Google Scholar 

  148. M. Tsuchiaki, A. Nishiyama, Substrate orientation dependence of NiSi junction leakage induced by anisotropic Ni migration in crystal Si. Jpn. J. Appl. Phys. 46, 1830–1840 (2007). https://doi.org/10.1143/JJAP.46.1830

    Article  CAS  Google Scholar 

  149. D.Z. Chi, D. Mangelinck, A.S. Zuruzi, A.S.W. Wong, S.K. Lahiri, Nickel silicide as a contact material for submicron CMOS devices. J. Electron. Mater. 30, 1483–1488 (2001). https://doi.org/10.1007/s11664-001-0162-4

    Article  CAS  Google Scholar 

  150. D.Z. Chi, D. Mangelinck, J.Y. Dai, S.K. Lahiri, K.L. Pey, C.S. Ho, Nickel-platinum alloy monosilicidation-induced defects in n-type silicon. Appl. Phys. Lett. 76, 3385–3387 (2000). https://doi.org/10.1063/1.126741

    Article  CAS  Google Scholar 

  151. D.Z. Chi, D. Mangelinck, S.K. Lahiri, P.S. Lee, K.L. Pey, Comparative study of current-voltage characteristics of Ni and Ni(Pt)-alloy silicided p+/n diodes. Appl. Phys. Lett. 78, 3256–3258 (2001). https://doi.org/10.1063/1.1374496

    Article  CAS  Google Scholar 

  152. T. Yamaguchi, K. Kashihara, T. Okudaira, T. Tsutsumi, K. Maekawa, T. Kosugi, N. Murata, J. Tsuchimoto, K. Shiga, K. Asai, M. Yoneda, Suppression of anomalous gate edge leakage current by control of Ni silicidation region using Si ion implantation technique, in Proceedings of IEEE International Electron Devices Meeting IEDM06 (2006), pp. 855–858. https://doi.org/10.1109/iedm.2006.346916

  153. T. Yamaguchi, K. Kashihara, S. Kudo, K. Hayashi, N. Hashikawa, T. Okudaira, T. Tsutsumi, K. Maekawa, H. Oda, K. Asai, M. Kojima, A novel low leakage-current Ni SALICIDE process in nMOSFETs on Si(110) substrate, in Proceedings of IEEE International Electron Devices Meeting IEDM07 (2007), pp. 136–142. https://doi.org/10.1109/iedm.2007.4418884

  154. S. Kudo, Y. Hirose, T. Hashikawa, K. Kashihara, K. Maekawa, K. Asai, N. Murata, K. Asayama, E. Murakami, Analysis of Ni silicide abnormal growth mechanism using advanced TEM techniques, in Proceedings of IEEE International Reliability Physics Symposium (2008), pp. 580–583. https://doi.org/10.1109/relphy.2008.4558948

  155. B. Imbert, R. Pantel, S. Zoll, M. Gregoire, R. Beneyton, S. del Medico, O. Thomas, Nickel silicide encroachment formation and characterization. Microelectron. Eng. 87, 245–248 (2010). https://doi.org/10.1016/j.mee.2009.06.003

    Article  CAS  Google Scholar 

  156. J.-G. Chang, C.-B. Wu, X.-L. Ji, H.-W. Ma, F. Yan, Y. Shi, R. Zhang, The leakage current improvement of a Ni-silicided SiGe/Si junction using a Si cap layer and the PAI technique. Chin. Phys. Lett. 29, 058501/1-4 (2012). https://doi.org/10.1088/0256-307x/29/5/058501

  157. Y.-J. Chen, B.-Y. Tsui, H.-J. Chou, C.-I. Li, G.-P. Lin, S.-Y. Hu, Reduced junction leakage by hot phosphorus ion implantation of NiGe-contacted germanium n+/p shallow junction. IEEE Electron Dev. Lett. 38, 1192–1195 (2017). https://doi.org/10.1109/LED.2017.2726086

    Article  Google Scholar 

  158. R.H. Hopkins, R.G. Seidensticker, J.R. Davis, P. Rai-Choudhury, P.D. Blais, J.R. McCormick, Crystal growth considerations in the use of “solar grade” silicon. J. Cryst. Growth 41, 493–498 (1977). https://doi.org/10.1016/0022-0248(77)90236-4

    Article  Google Scholar 

  159. J.R. Davis Jr., A. Rohatgi, R.H. Hopkins, P.D. Blais, P. Rai-Choudhury, J.R. McCormick, H.C. Mollenkopf, Impurities in silicon solar cells. IEEE Trans. Electron Dev. 27, 677–687 (1980). https://doi.org/10.1109/t-ed.1980.19922

    Article  CAS  Google Scholar 

  160. R.H. Hopkins, A. Rohatgi, Impurity effects in silicon for high efficiency solar cells. J. Cryst. Growth 75, 67–79 (1986). https://doi.org/10.1016/0022-0248(86)90226-5

    Article  CAS  Google Scholar 

  161. S. Pizzini, L. Bigoni, M. Beghi, C. Chemelli, On the effect of impurities on the photovoltaic behavior of solar grade silicon II. Influence of titanium, vanadium, chromium, iron, and zirconium on photovoltaic behavior of polycrystalline solar cells. J. Electrochem. Soc. 133, 2363–2373 (1986). https://doi.org/10.1149/1.2108409

    Article  CAS  Google Scholar 

  162. J. Libal, S. Novaglia, M. Acciari, S. Binetti, R. Petres, J. Arumughan, R. Kopecek, A. Prokopenko, Effect of compensation and of metallic impurities on the electrical properties of Cz-grown solar grade silicon. J. Appl. Phys. 104, 104507/1-8 (2008). https://doi.org/10.1063/1.3021300

    Article  CAS  Google Scholar 

  163. A.R. Peaker, V.P. Markevich, B. Hamilton, G. Parada, A. Dudas, A. Pap, E. Don, B. Lim, J. Schmidt, L. Yu, Y. Yoon, G. Rozgonyi, Recombination via point defects and their complexes in solar silicon. Phys. Status Solidi A 209, 1884–1893 (2012). https://doi.org/10.1002/pssa.201200216

    Article  CAS  Google Scholar 

  164. F. Schindler, B. Michl, J. Schön, W. Kwapil, W. Warta, M.C. Schubert, Solar cell efficiency losses due to impurities from the crucible in multicrystalline silicon. IEEE J. Photovoltaics 4, 122–129 (2014). https://doi.org/10.1109/JPHOTOV.2013.2284060

    Article  Google Scholar 

  165. D. Macdonald, A. Cuevas, Reduced fill factors in multicrystalline silicon solar cells due to injection-level dependent bulk recombination lifetimes. Progr. Photovolt. Res. Appl. 8, 363–375 (2000). https://doi.org/10.1002/1099-159x(200007/08)8:4<363:aid-pip328>3.0.co;2-y

    Article  CAS  Google Scholar 

  166. R. Schindler, W. Warta, Improvements and limits of the open circuit voltage of mc-Si solar cells. Phys. Status Solidi B 222, 389–404 (2000). https://doi.org/10.1002/1521-3951(200011)222.1<389:aid-pssb389>3.0.co;2-z

    Google Scholar 

  167. W. Kwapil, P. Gundel, M.C. Schubert, F.D. Heinz, W. Warta, E.R. Weber, A. Goetzberger, G. Martinez-Criado, Observation of metal precipitates at prebreakdown sites in multicrystalline silicon solar cells. Appl. Phys. Lett. 95, 232113/1-3 (2009). https://doi.org/10.1063/1.327682

    Article  Google Scholar 

  168. W. Kwapil, M. Kasemann, P. Gundel, M.C. Schubert, W. Warta, P. Bronsveld, G. Coletti, Diode breakdown related to recombination active defects in block-cast multicrystalline silicon solar cells. J. Appl. Phys. 106, 063530: 1/7 (2009). https://doi.org/10.1063/1.3224908

    Article  CAS  Google Scholar 

  169. O. Breitenstein, J. Bauer, K. Bothe, W. Kwapil, D. Lausch, U. Rau, J. Schmidt, M. Schneemann, M.C. Schubert, J.-M. Wagner, W. Warta, Understanding junction breakdown in multicrystalline solar cells. J. Appl. Phys. 109, 071101/1-10 (2011). https://doi.org/10.1063/1.3562200

    Article  CAS  Google Scholar 

  170. T. Buonassisi, O.F. Vyvenko, A.A. Istratov, E.R. Weber, G. Hahn, D. Sontag, J.P. Rakotoniaina, O. Breitenstein, J. Isenberg, R. Schindler, Observation of transition metals at shunt locations in multicrystalline silicon solar cells. J. Appl. Phys. 95, 1556–1561 (2004). https://doi.org/10.1063/1.1636252

    Article  CAS  Google Scholar 

  171. A. Rohatgi, J.R. Davis, R.H. Hopkins, P. Rai-Choudhury, P.G. McMullin, J.R. McCormick, Effect of titanium, copper and iron on silicon solar cells. Solid-State Electron 23, 415–422 (1980). https://doi.org/10.1016/0038-1101(80)90076-3

    Article  CAS  Google Scholar 

  172. M.D. Dlamini, Electrical/electronic effects of titanium and iron impurities in EFG and FZ solar cell silicon: SPV/EBIC analysis. Sol. Energ. Mater Sol. Cells 43, 353–361 (1996). https://doi.org/10.1016/0927-0248(96)00019-0

    Article  CAS  Google Scholar 

  173. D. Macdonald, H. Mäckel, A. Cuevas, Effect of gettered iron on recombination in diffused regions of crystalline silicon wafers. Appl. Phys. Lett. 88, 092105/1-3 (2006). https://doi.org/10.1063/1.2181199

    Article  CAS  Google Scholar 

  174. S. Dubois, O. Palais, P.J. Ribeyron, N. Enjalbert, M. Pasquinelli, S. Martinuzzi, Effect of intentional bulk contamination with iron on multicrystalline silicon solar cell properties. J. Appl. Phys. 102, 083525/1-7 (2007). https://doi.org/10.1063/1.2799057

    Article  CAS  Google Scholar 

  175. S. Dubois, O. Palais, M. Pasquinelli, S. Martinuzzi, C. Jaussaud, N. Rondel, Influence of iron contamination on the performances of single-crystalline silicon solar cells: computed and experimental results. J. Appl. Phys. 100, 024510/1-7 (2006). https://doi.org/10.1063/1.2218593

    Article  CAS  Google Scholar 

  176. D.P. Fenning, J. Hofstetter, M.I. Bertoni, S. Hudelson, M. Rinio, J.F. Lelièvre, B. Lai, C. del Cañizo, T. Buonassisi, Iron distribution in silicon after solar cell processing: synchrotron analysis and predictive modeling. Appl. Phys. Lett. 98, 162103/1-3 (2011). https://doi.org/10.1063/1.3575583

    Article  CAS  Google Scholar 

  177. T. Mchedlidze, L. Scheffler, J. Weber, M. Herms, J. Neusel, V. Osinniy, C. Möller, K. Lauer, Local detection of deep carrier traps in the pn-junction of silicon solar cells. Appl. Phys. Lett. 103, 013901/1-3 (2013). https://doi.org/10.1063/1.4807142

    Article  CAS  Google Scholar 

  178. T. Mchedlidze, C. Möller, K. Lauer, J. Weber, Evolution of iron-containing defects during processing of Si solar cells. J. Appl. Phys. 116, 245701/1-6 (2014). https://doi.org/10.1063/1.4905027

    Article  CAS  Google Scholar 

  179. A.M. Salama, Copper precipitation effects in silicon used in solar cells. Electrochem. Soc. Proc. 78(3), 334–345 (1978). https://doi.org/10.1149/1.2128964

    Article  Google Scholar 

  180. X. Li, D. Yang, X. Yu, D. Que, Copper precipitates in multicrystalline silicon for solar cells. ECS Trans. 27(1), 1135–1140 (2010). https://doi.org/10.1149/1.3360762

    Article  CAS  Google Scholar 

  181. T. Turmagambetov, S. Dubois, J.-P. Garandet, B. Martel, N. Enjalbert, J. Veirman, E. Pihan, Influence of copper contamination on the illuminated forward and dark reverse current-voltage characteristics of multicrystalline p-type silicon solar cells. Phys. Status Solidi C 11, 1697–1702 (2014). https://doi.org/10.1002/pssc.201400036

    Article  CAS  Google Scholar 

  182. J. Bartsch, A. Mondon, K. Bayer, C. Schetter, M. Hörteis, S.W. Glunz, Quick determination of copper-metallization long-term impact on silicon solar cells. J. Electrochem. Soc. 157, H942–H946 (2010). https://doi.org/10.1149/1.3466984

    Article  CAS  Google Scholar 

  183. S. Flynn, A. Lennon, Copper penetration in laser-doped selective-emitter silicon solar cells with plated nickel barrier layers. Sol. Energ. Mater Sol. Cells 130, 309–316 (2014). https://doi.org/10.1016/j.solmat.2014.07.026

    Article  CAS  Google Scholar 

  184. A. Kraft, C. Wolf, J. Bartsch, M. Glatthaar, Characterization of copper diffusion in silicon solar cells. Energ. Proc. 67, 93–100 (2015). https://doi.org/10.1016/j.egypro.2015.03.292

    Article  CAS  Google Scholar 

  185. A. Kraft, C. Wolf, J. Bartsch, M. Glatthaar, S. Glunz, Long term stability of copper front side contacts for crystalline silicon solar cells. Sol. Energ. Mater Sol. Cells 136, 25–31 (2015). https://doi.org/10.1016/j.solmat.2014.12.024

    Article  CAS  Google Scholar 

  186. A.M. Salama, L.J. Cheng, The effects of titanium impurities in n+/p silicon solar cells. J. Electrochem. Soc. 127, 1164–1167 (1980). https://doi.org/10.1149/1.2129839

    Article  CAS  Google Scholar 

  187. J.T. Borenstein, J.I. Hanoka, B.R. Bathey, J.P. Kalejs, S. Mil’shtein, Influence of ion-implanted titanium on the performance of edge-defined, film-fed grown silicon solar cells. Appl. Phys. Lett. 62, 1615–1616 (1993). https://doi.org/10.1063/1.108603

    Article  CAS  Google Scholar 

  188. S. Dubois, O. Palais, M. Pasquinelli, S. Martinuzzi, C. Jaussaud, Influence of substitutional metallic impurities on the performances of p-type crystalline silicon solar cells: the case of gold. J. Appl. Phys. 100, 123502/1-8 (2006). https://doi.org/10.1063/1.2400801

    Article  CAS  Google Scholar 

  189. A. Rohatgi, R.H. Hopkins, J.R. Davis, R.B. Campbell, H.C. Mollenkopf, The impact of molybdenum on silicon and silicon solar cell performance. Solid State Electron. 23, 1185–1190 (1980). https://doi.org/10.1016/0038-1101(80)90032-5

    Article  CAS  Google Scholar 

  190. J. Bauer, V. Naumann, S. Großer, C. Hagendorf, M. Schütze, O. Breitenstein, On the mechanism of potential-induced degradation in crystalline silicon solar cells. Phys. Status Solidi RRL 6, 331–333 (2012). https://doi.org/10.1002/pssr.201206276

    Article  CAS  Google Scholar 

  191. V. Naumann, D. Lausch, A. Graff, M. Werner, S. Swatek, J. Bauer, A. Hähnel, O. Breitenstein, S. Großer, J. Bagdahn, C. Hagendorf, The role of stacking faults for the formation of shunts during potential-induced degradation of crystalline Si solar cells. Phys. Status Solidi RRL 7, 315–318 (2012). https://doi.org/10.1002/pssr.201307090

    Article  CAS  Google Scholar 

  192. J. Hofstetter, J.F. Lelièvre, C. del Cañizo, A. Luque, Acceptable contamination levels in solar grade silicon: from feedstock to solar cell. Mater. Sci. Eng. B 159–160, 299–304 (2009). https://doi.org/10.1016/j.mseb.2008.05.021

    Article  CAS  Google Scholar 

  193. G. Coletti, P.C. Bronsveld, G. Hahn, W. Warta, D. Macdonald, B. Ceccaroli, K. Wambach, N. Le Quang, J.M. Fernandez, Impact of metal contamination in silicon solar cells. Adv. Funct. Mater. 21, 879–890 (2011). https://doi.org/10.1002/adfm.201000849

    Article  CAS  Google Scholar 

  194. N. Tōyama, Electrical properties of Au-Cu-doped Si Schottky diode. J. Appl. Phys. 54, 932–936 (1983). https://doi.org/10.1063/1.332017

    Article  Google Scholar 

  195. N. Tōyama, Stress effect in Au-Si Schottky diode doped with Cu. J. Appl. Phys. 55, 4398–4403 (1994). https://doi.org/10.1063/1.333010

  196. N. Tōyama, Capacitance decrease due to stress in a Cu-doped, n-type Si Schottky diode. Appl. Phys. Lett. 46, 892–894 (1985). https://doi.org/10.1063/1.95878

    Article  Google Scholar 

  197. A. Bindal, R. Wachnik, W. Ma, Observation of recombination center-assisted tunneling current in Al(Cu)-penetrated PtSi Schottky barrier diodes. J. Appl. Phys. 68, 6259–6262 (1990). https://doi.org/10.1063/1.346867

    Article  CAS  Google Scholar 

  198. C. Ahrens, G. Friese, R. Ferretti, B. Schwierzi, W. Hasse, Electrical characterization of Ti/TiSi2 and WN/TiSi2 Cu-diffusion barriers using Schottky diodes. Microelectron. Eng. 33, 301–307 (1997). https://doi.org/10.1016/S0167-9317(96)00058-5

    Article  CAS  Google Scholar 

  199. C. Ahrens, R. Ferretti, G. Friese, J.O. Weidner, Thermal stress effects on capacitance and current characteristics of Cu/Si and Cu/TiN/Si Schottky-diodes. Microelectron. Eng. 37(38), 211–219 (1997). https://doi.org/10.1016/S0167-9317(97)99114-7

    Article  Google Scholar 

  200. M. Stavrev, D. Fischer, C. Wenzel, T. Heiser, Study of Ta(N, O) diffusion barrier stability: analytical and electrical characterization of low level Cu contamination in Si. Microelectron. Eng. 37(38), 245–251 (1997). https://doi.org/10.1016/S0167-9317(97)00118-4

    Article  Google Scholar 

  201. E. Simoen, K. Opsomer, C. Claeys, K. Maex, C. Detavernier, R.L. Van Meirhaeghe, S. Forment, P. Clauws, Deep level transient spectroscopy study of nickel-germanide Schottky barriers on n-type germanium. Appl. Phys. Lett. 88, 183506/1-3 (2006). https://doi.org/10.1063/1.2199615

    Article  CAS  Google Scholar 

  202. E. Simoen, K. Opsomer, C. Claeys, K. Maex, C. Detavernier, R.L. Van Meirhaeghe, P. Clauws, Point-defect generation in Ni-, Pd-, and Pt-germanide Schottky barriers on n-type germanium. J. Electrochem. Soc. 154, H857–H861 (2007). https://doi.org/10.1149/2759832

    Article  CAS  Google Scholar 

  203. E. Simoen, K. Opsomer, C. Claeys, K. Maex, C. Detavernier, R.L. Van Meirhaeghe, P. Clauws, Study of metal-related deep-level defects in germanide Schottky barriers on n-type germanium. J. Appl. Phys. 104, 023705/1-7 (2008). https://doi.org/10.1063/1.2956708

    Article  CAS  Google Scholar 

  204. T. Gravier, F. Braud, J. Torres, J. Palleau, A. Chantre, J. Kitsch, Copper contamination effects in 0.5 μm BiCMOS technology. Microelectron. Eng. 33, 211–216 (1997). https://doi.org/10.1016/S0167-9317(96)00047-0

    Article  CAS  Google Scholar 

  205. E.P. Burte, W. Aderhold, The impact of iron, copper, and calcium contamination of silicon surfaces on the yield of a MOS DRAM test process. Solid State Electron. 41, 1021–1025 (1997). https://doi.org/10.1016/S0038-1101(97)00016-6

    Article  CAS  Google Scholar 

  206. K.C. Tee, K. Prasad, C.S. Lee, H. Gong, C.L. Chu, L. Chan, A.K. See, Effects of deliberate copper contamination from the plating solution on the electrical characteristics of MOSFETs. IEEE Trans. Semicond. Manufact. 14, 170–172 (2001). https://doi.org/10.1109/66.920729

    Article  Google Scholar 

  207. M. Inohara, H. Sakurai, T. Yamaguchi, H. Tomita, T. Iijima, H. Oyamatsu, T. Nakayama, H. Yoshimura, Y. Toyoshima, Copper contamination induced degradation of MOSFET characteristics and reliability, in Digest 2000 Symposium on VLTS Technology Technical Papers (2000), pp. 26–27. https://doi.org/10.1109/vlsit.2000.852755

  208. Y.-J. Kim, K.-K. Choi, O. Kim, Effects of localized contamination with copper in MOSFET. IEEE Electron Dev. Lett. 23, 479–481 (2002). https://doi.org/10.1109/LED.2002.801332

    Article  CAS  Google Scholar 

  209. S.Q. Gu, L. Duong, J. Elmer, S. Prasad, Impact of wafer backside Cu contamination to 0.18 μm node devices. Electrochem. Soc. Proc. 2003(06), 447–454 (2003)

    Google Scholar 

  210. K. Isobe, M. Kanda, T. Murakami, K. Totsuka, M. Moriuchi, T. Miyoshi, S. Yamada, T. Noguchi, Cu contamination induced degradation mechanism of embedded flash cells, in Technical Digest IEDM (2001), pp. 715–718. https://doi.org/10.1109/iedm.2001.979612

  211. T. Kauerauf, A. Branka, K. Croes, A. Redolfi, Y. Civale, C. Torregiani, G. Groeseneken, E. Beyne, Effect of TSV presence on FEOL yield and reliability, in Proceedings Reliability Physics SymposiumIRPS13 (2013), pp. 5C.6.1–5C6.4. https://doi.org/10.1109/irps.2013.6532035

  212. Y. Dai, Deep-level impurity analysis for p-n junctions of a bipolar transistor from low-frequency g-r noise measurements. Solid State Electron. 32, 439–443 (1989). https://doi.org/10.1016/S0038-1101(89)90025-7

    Article  Google Scholar 

  213. K. Lee, T. Tani, H. Naganuma, Y. Ohara, T. Fukushima, T. Tanaka, M. Koyanagi, Impact of Cu contamination on memory retention characteristics in thinned DRAM chip for 3-D integration. IEEE Electron Dev. Lett. 33, 1297–1299 (2012). https://doi.org/10.1109/LED.2012.2202631

    Article  CAS  Google Scholar 

  214. K. Lee, S. Tanikawa, H. Naganuma, J. Bea, M. Murugesan, T. Fukushima, T. Tanaka, M. Koyanagi, Impacts of Cu contamination in 3D integration process on memory retention characteristics in thinned DRAM chip, in Proceedings of IEEE International Reliability Physics SymposiumIRPS14 (2014), pp. 3E.4.1–3E4.6. https://doi.org/10.1109/irps.2014.6860634

  215. K.-W. Lee, S. Tanikawa, M. Murugesan, H. Naganuma, J.-C. Bea, T. Fukushima, T. Tanaka, M. Koyanagi, Impacts of 3-D integration processes on memory retention characteristics in thinned DRAM chip for high-reliable 3-D DRAM. IEEE Trans. Electron Dev. 51, 379–385 (2014). https://doi.org/10.1109/TED.2013.2295244

    Article  Google Scholar 

  216. J.R. Schmid, H.G. Parks, R. Craigin, R.D. Schrimpf, Estimating the effect of contamination-induced leakage current in view of DRAM architectural trends, in Proceedings of IEEE/SEMI Advanced Semiconductor Manufacturing Conference (1994), pp. 241–250. https://doi.org/10.1109/asmc.1994.588261tre

  217. K. Isobe, M. Kanda, T. Murakami, K. Totsuka, M. Moriuchi, T. Miyoshi, S. Yamada, T. Noguchi, Cu contamination induced degradation mechanism of embedded flash cells, in Technical Digest IEEE International Electron Devices MeetingIEDM01 (2001), pp. 715–718. https://doi.org/10.1109/iedm.2001.979612

  218. L. Jastrzebski, R. Soydan, H. Elabd, W. Henry, E. Savoye, The effect of heavy metal contamination on defects in CCD imagers. J. Electrochem. Soc. 137, 242–249 (1990). https://doi.org/10.1149/1.2086375

    Article  CAS  Google Scholar 

  219. N. Krause, H. Soltau, D. Hauff, J. Kemmer, D. Stötter, L. Strüder, J. Weber, Metal contamination analysis of the epitaxial starting material for scientific CCDs. Nucl. Instr. Meth. A 439, 228–238 (2000). https://doi.org/10.1016/S0168-9002(99)00917-

    Article  CAS  Google Scholar 

  220. W.J. Toren, J. Bisschop, A CCD delay line to determine low concentrations of bulk traps in silicon. Microelectron. Eng. 19, 623–626 (1992). https://doi.org/10.1016/0167-9317(92)90509-P

    Article  CAS  Google Scholar 

  221. W.J. Torn, J. Bisschop, Metal contamination characterization in CCD image sensors, in IEEE International Electron Devices Meeting IEDM95 (1995), pp. 163–166. https://doi.org/10.1109/iedm.1995.497205

  222. C. Tivarus, W.C. McColgin, Dark current spectroscopy of irradiated CCD image sensors. IEEE Trans. Nucl. Sci. 55, 1719–1724 (2008). https://doi.org/10.1109/TNS.2008.919263

    Article  CAS  Google Scholar 

  223. F. Domengie, J.L. Regolini, D. Bauza, P. Morin, Impact on device performance and monitoring of a low dose tungsten contamination by dark current spectroscopy, in Proceedings of IEEE International Reliability Physics Symposium—IRPS10 (2010), pp. 259–264. https://doi.org/10.1109/irps.2010.5488821

  224. F. Domengie, J.L. Regolini, D. Bauza, Study of metal contamination in CMOS image sensors by dark-current and deep-level transient spectroscopy. J. Electron. Mater. 39, 625–629 (2010). https://doi.org/10.1007/s11664-010-1212-6

    Article  CAS  Google Scholar 

  225. F. Domengie, P. Morin, D. Bauza, Modeling the dark current histogram induced by gold contamination in complementary-metal-oxide-semiconductor image sensors. J. Appl. Phys. 118, 024501/1-10 (2015). https://doi.org/10.1063/1.4922969

    Article  CAS  Google Scholar 

  226. J.-P. Carrère, S. Place, J.-P. Oddou, D. Benoit, F. Roy, CMOS image sensors: process impact on dark current, in Proceedings of IEEE International Reliability Physics Symposium IRPS14 (2014), pp. 3C.1.1–3C.1.6. https://doi.org/10.1109/irps.2014.6860620

  227. F. Russo, G. Nardone, M.L. Polignano, A. D’Ercole, F. Pennella, M. Di Felice, A. Del Monte, A. Matarozzo, G. Moccia, G. Polsinelli, A. D’Angelo, M. Liverani, F. Irrera, Dark current spectroscopy of transition metals in CMOS image sensors. ECS J. Solid State Sci. Technol. 6, P217–P226 (2017). https://doi.org/10.1149/2.0101705jss

    Article  CAS  Google Scholar 

  228. I.-H. Kim, J.-S. Park, T.-H. Shim, J.-G. Park, Si CMOS image-sensors designed with hydrogen-ion implantation induced nanocavities for enhancing output voltage sensing margin via proximity gettering. IEEE Trans. Electron Dev. 64, 2345–2349 (2017). https://doi.org/10.1109/TED.2017.2677948

    Article  CAS  Google Scholar 

  229. T. Yamaguchi, T. Yamashita, T. Kamino, Y. Goto, T. Kuroi, M. Matsuura, White spots reduction by ultimate proximity metal gettering at carbon complexes formed underneath contact area in CMOS image sensors, in Symposium on VLSI Technology Digest of Technical Papers (2016). https://doi.org/10.1109/vlsit.2016.7573447

  230. J.M. Dishman, S.E. Haszko, R.B. Marcus, S.P. Murarka, T.T. Sheng, Electrically active stacking faults in CMOS integrated circuits. J. Appl. Phys. 50, 2689–2696 (1979). https://doi.org/10.1063/1.326228

    Article  CAS  Google Scholar 

  231. P.J. Ward, A survey of iron contamination in silicon substrates and its impact on circuit yield. J. Electrochem. Soc. 129, 2573–2576 (1982). https://doi.org/10.1149/1.212612

    Article  CAS  Google Scholar 

  232. W. Bergholz, D. Gilles, Impact of research on defects in silicon on the microelectronic industry. Phys. Status Solidi B 222, 5–23 (2000). https://doi.org/10.1002/1521-3951(200011)222:5-23AID-PSSB>3.0.CO;2-L

    Google Scholar 

  233. A. Hiraiwa, T. Itoga, Scaling law in ULSI contamination control. IEEE Trans. Semicond. Manufact. 7, 60–67 (1994). https://doi.org/10.1109/66.286832

    Article  Google Scholar 

  234. K.K. Kuo, S. Emoto, DRAM technology memory electrical yield improvement by backgrinding induced backside damage, in Proceedings of Electronic Materials and PackagingEMAP Conference (2006), pp. 1–6. https://doi.org/10.1109/emap.2006.4430675

  235. D.R. Collins, D.K. Schroder, C.T. Sah, Gold diffusivities in SiO2 and Si using the MOS structure. Appl. Phys. Lett. 8, 323–325 (1966). https://doi.org/10.1063/1.1754459

    Article  CAS  Google Scholar 

  236. W.E. Beadle, J.C.C. Tsai, R.D. Plummer, in Silicon Processing Quick Reference Manual (Wiley, New York, 1985), p. 6

    Google Scholar 

  237. J. Askill, Tracer Diffusion Data for Metals, Alloys, and Simple Oxides (IFI/Plenum, New York, 1970), p. 7

    Book  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Cor Claeys .

Rights and permissions

Reprints and permissions

Copyright information

© 2018 Springer International Publishing AG, part of Springer Nature

About this chapter

Check for updates. Verify currency and authenticity via CrossMark

Cite this chapter

Claeys, C., Simoen, E. (2018). Impact of Metals on Silicon Devices and Circuits. In: Metal Impurities in Silicon- and Germanium-Based Technologies . Springer Series in Materials Science, vol 270. Springer, Cham. https://doi.org/10.1007/978-3-319-93925-4_7

Download citation

Publish with us

Policies and ethics