Skip to main content

Selection of Post-Silicon Hardware Assertions

  • Chapter
  • First Online:
Post-Silicon Validation and Debug
  • 1033 Accesses

Abstract

Post-silicon validation is an important and time-consuming step in the design flow of system-on-chip (SoC) devices. Electrical errors such as those caused by cross-talk or power droops, are particularly difficult to catch during the pre-silicon phase because of the insufficient accuracy of device models, which is often traded-off against simulation time. These electrically induced subtle errors most commonly manifests in the logic domain as bit-flips in flip-flops. Unlike pre-silicon verification, limited internal node observability is one of the main challenges in post-silicon validation because it causes long error detection latencies if errors can only be observed at the primary outputs. Recent studies have justified the use of hardware assertions to enhance the internal observability and reduce error detection latencies. However, to the best of our knowledge, there are no systematic methods for designing embedded hardware monitors for generic logic blocks that can detect bit-flips with low detection latency. In addition to this, unlike pre-silicon verification and manufacturing test that benefits from well-defined and universally accepted coverage metrics, there is no generic metric from which confidence can be implied at the end of post-silicon validation. Toward these goals, we present methodologies and architectures that rely on design invariants (assertions) that are selected based on their potential to detect bit-flips. We also introduce the flip-flop coverage estimate that can be used to assess the quality of the selected assertions.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 89.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 119.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 169.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. J.H. Barton, E.W. Czeck, Z.Z. Segall, D.P. Siewiorek, Fault injection experiments using FIAT. IEEE Trans. Comput. (TCOMP) 39(4), 575–582 (1990)

    Article  Google Scholar 

  2. P. Taatizadeh, N. Nicolici, Automated selection of assertions for bit-flip detection during post-silicon validation. IEEE Trans. Comput. Aided Design Integr. Circuits Syst. (TCAD) 35(12), 2118–2130 (2016)

    Article  Google Scholar 

  3. H.F. Ko, N. Nicolici, Automated trace signals identification and state restoration for improving observability in post-silicon validation, in ACM/IEEE Design, Automation and Test in Europe (DATE) (2008), pp. 1298–1303

    Google Scholar 

  4. Y.-S. Yang, N. Nicolici, A. Veneris, Automated data analysis solutions to silicon debug, in ACM/IEEE Design, Automation Test in Europe Conference Exhibition (DATE) (2009), pp. 982–987

    Google Scholar 

  5. M. Boulé, Z. Zilic, Generating Hardware Assertion Checkers: For Hardware Verification, Emulation, Post-Fabrication Debugging and On-Line Monitoring (Springer, Berlin, 2008)

    Book  Google Scholar 

  6. S. Hangal, S. Narayanan, N. Chandra, S. Chakravorty, IODINE: a tool to automatically infer dynamic invariants for hardware designs, in 42nd ACM/IEEE Design Automation Conference, 2005. Proceedings (2005), pp. 775–778

    Google Scholar 

  7. S. Hertz, D. Sheridan, S. Vasudevan, Mining hardware assertions with guidance from static analysis. IEEE Trans. Comput. Aided Design Integr. Circuits Syst. 32(6), 952–965 (2013)

    Article  Google Scholar 

  8. B. Vermeulen, S.K. Goel, Design for debug: catching design errors in digital chips. IEEE Design Test Comput. 19(3), 35–43 (2002)

    Article  Google Scholar 

  9. M. Gao, K.-T. Cheng, A case study of time-multiplexed assertion checking for post-silicon debugging, in IEEE International High Level Design Validation and Test Workshop (HLDVT) (2010), pp. 90–96

    Google Scholar 

  10. F. Brglez, D. Bryan, K. Kozminski, Combinational profiles of sequential benchmark circuits, in IEEE International Symposium on Circuits and Systems (ISCAS), vol. 3 (1989), pp. 1929–1934

    Google Scholar 

  11. C. Fibich, M. Wenzl, P. Rssler, On automated generation of checker units from hardware assertion languages, in Microelectronic Systems Symposium (MESS), 2014 (2014), pp. 1–6

    Google Scholar 

  12. M. Wenzl, C. Fibich, P. Rssler, H. Taucher, M. Matschnig, Logic synthesis of assertions for safety-critical applications, in IEEE International Conference on Industrial Technology (ICIT) (2015), pp. 1581–1586

    Google Scholar 

  13. H.D. Foster, A.C. Krolnik, D.J. Lacey, Assertion-Based Design, Information Technology: Transmission, Processing and Storage (Springer, Berlin, 2004)

    Google Scholar 

  14. C.-Y. Huang, Y.-F. Yin, C.-J. Hsu, T.B. Huang, T.-M. Chang, SoC HW/SW verification and validation, in ACM/IEEE Asia and South Pacific Design Automation Conference (ASP-DAC) (2011), pp. 297–300

    Google Scholar 

  15. K. Balston, A.J. Hu, S.J.E. Wilton, A. Nahir, Emulation in post-silicon validation: it’s not just for functionality anymore, in IEEE High Level Design Validation and Test Workshop (HLDVT) (2012), pp. 110–117

    Google Scholar 

  16. A Parikh, W. Wu, M.S. Hsiao, Mining-guided state justification with partitioned navigation tracks, in IEEE International Test Conference (ITC) (2007), pp. 1–10

    Google Scholar 

Download references

Acknowledgements

The authors wish to thank University of Illinois at Urbana-Champaign for GoldMine [7] (automatic assertion generation) , Virginia Tech for the Validation Vector Generator [16] (used for deterministic stimuli for Goldmine) and McGill University for providing us with MBAC [5] for assertion synthesis.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Pouya Taatizadeh .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2019 Springer Nature Switzerland AG

About this chapter

Check for updates. Verify currency and authenticity via CrossMark

Cite this chapter

Taatizadeh, P., Nicolici, N. (2019). Selection of Post-Silicon Hardware Assertions. In: Mishra, P., Farahmandi, F. (eds) Post-Silicon Validation and Debug. Springer, Cham. https://doi.org/10.1007/978-3-319-98116-1_10

Download citation

  • DOI: https://doi.org/10.1007/978-3-319-98116-1_10

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-319-98115-4

  • Online ISBN: 978-3-319-98116-1

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics