Skip to main content

Folding Active List for High Performance and Low Power

  • Conference paper
High-Performance Computing (ISHPC 2005, ALPS 2006)

Part of the book series: Lecture Notes in Computer Science ((LNTCS,volume 4759))

  • 771 Accesses

Abstract

Out-of-order processors schedule instructions dynamically in order to exploit instruction level parallelism. It is necessary to increase instruction window size for improving instruction scheduling capability. In addition, current trend of exploiting thread-level parallelism requires further large instruction window. However, it is difficult to increase the size, because the instruction window is one of the dominant deciding processor cycle time and power consumption. This paper proposes a large instruction window, focusing on power-aware active list with large capacity. Restricting allocation and commitment policies, we achieve both high performance and low power. Simulation results show that our proposed active list significantly boosts processor performance with slight degradation from the traditional unrealistic active list.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 39.99
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 54.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. Abella, J., Canal, R., Gonzalez, A.: Power- and Complexity-Aware Issue Queue Designs. IEEE Micro 23(5) (September 2003)

    Google Scholar 

  2. Akkary, H., Rajwar, R., Srinivasan, S.T.: Checkpoint Processing and Recovery: Towards Scalable Large Instruction Window Processors. In: 36th International Symposium on Microarchitecture (December 2003)

    Google Scholar 

  3. Burger, D., Austin, T.M.: The SimpleScalar Tool Set, Version 2.0. ACM SIGARCH Computer Architecture News 25(3) (1997)

    Google Scholar 

  4. Butts, J.A., Sohi, G.: Characterizing and Predicting Value Degree of Use. In: 35th International Symposium on Microarchitecture (November 2002)

    Google Scholar 

  5. Cristal, A., Ortega, D., Llosa, J., Valero, M.: Kilo-instruction Processors. In: 5th International Symposium on High Performance Computing (October 2003)

    Google Scholar 

  6. Ergin, O., Balkan, D., Ponomarev, D., Ghose, K.: Increasing Processor Performance Through Early Register Release. In: 22nd International Conference on Computer Design (October 2004)

    Google Scholar 

  7. Jacobsen, E., Rotenberg, E., Smith, J.E.: Assigning Confidence to Conditional Branch Predictions. In: 29th International Symposium on Microarchitecture (December 1996)

    Google Scholar 

  8. Kalla, R., Sinharoy, B., Tendler, J.: Simultaneous Multi-threading Implementation in POWER5 – IBM’s Next Generation POWER Microprocessor. Hot Chips 15 (August 2003)

    Google Scholar 

  9. Kucuk, G., Ergin, O., Ponomarev, D., Ghose, K.: Distributed Reorder Buffer Schemes for Low Power. In: 21st International Conference on Computer Design (October 2003)

    Google Scholar 

  10. Lebeck, A.R., Li, T., Rotenberg, E., Koppanalil, J., Patwardhan, J.: Large, Fast Instruction Window for Tolerating Cache Misses. In: 29th International Symposium on Computer Architecture (May 2002)

    Google Scholar 

  11. Marr, D.T., Binns, F., Hill, D.L., Hinton, G., Koufaty, D.A., Miller, J.A., Upton, M.: Hyper-Threading Technology Architecture and Microarchitecture. Intel Technology Journal 6(1) (February 2002)

    Google Scholar 

  12. Martinez, J.F., Renau, J., Huang, M., Prvulovic, M., Torrellas, J.: Cherry: Checkpointed Early Resource Recycling in Out-of-order Microprocessors. In: 35th International Symposium on Microarchitecture (November 2002)

    Google Scholar 

  13. Monferrer, P.C., Magklis, G., Gonzalez, J., Gonzalez, A.: Distributing the Frontend for Temperature Reduction. In: 11th International Symposium on High-Performance Computer Architecture (February 2005)

    Google Scholar 

  14. Monreal, T., Vinals, V., Gonzalez, A., Valero, M.: Hardware Schemes for Early Register Release. In: 31st International Conference on Parallel Processing (August 2002)

    Google Scholar 

  15. Moudgill, M., Pingali, K., Vassiliadis, S.: Register Renaming and Dynamic Speculation: an Alternative Approach. In: 26th International Symposium on Microarchitecture (December 1993)

    Google Scholar 

  16. Srinivasan, S.T., Rajwar, R., Akkary, H., Gandhi, A., Upton, M.: Continual Flow Pipelines. In: 11th International Conference on Architectural Support for Programming Languages and Operating Systems (October 2004)

    Google Scholar 

  17. Yeager, K.C.: The MIPS R10000 Superscalar Microprocessor. IEEE Micro 6(2) (April 1996)

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Editor information

Jesús Labarta Kazuki Joe Toshinori Sato

Rights and permissions

Reprints and permissions

Copyright information

© 2008 Springer-Verlag Berlin Heidelberg

About this paper

Cite this paper

Imaizumi, Y., Sato, T. (2008). Folding Active List for High Performance and Low Power. In: Labarta, J., Joe, K., Sato, T. (eds) High-Performance Computing. ISHPC ALPS 2005 2006. Lecture Notes in Computer Science, vol 4759. Springer, Berlin, Heidelberg. https://doi.org/10.1007/978-3-540-77704-5_3

Download citation

  • DOI: https://doi.org/10.1007/978-3-540-77704-5_3

  • Publisher Name: Springer, Berlin, Heidelberg

  • Print ISBN: 978-3-540-77703-8

  • Online ISBN: 978-3-540-77704-5

  • eBook Packages: Computer ScienceComputer Science (R0)

Publish with us

Policies and ethics