Skip to main content

Introduction: Bias Temperature Instability (BTI) in N and P Channel MOSFETs

  • Chapter
  • First Online:
Fundamentals of Bias Temperature Instability in MOS Transistors

Part of the book series: Springer Series in Advanced Microelectronics ((MICROELECTR.,volume 52))

Abstract

In this chapter, the basic experimental signatures of NBTI and PBTI degradation respectively in p- and n-channel MOSFETs are discussed. Historical results from published reports are briefly reviewed for SiON and HKMG MOSFETs. Results obtained using ultra-fast characterization methods are shown for DC and AC BTI degradation in state-of-the-art SiON and HKMG MOSFETs. The impact of gate insulator processes on magnitude of NBTI degradation and its time, bias and temperature dependence is discussed for SiON p-MOSFETs. Time evolution of NBTI and PBTI degradation during and after DC stress and during AC stress is shown for HKMG MOSFETs, and the impact of stress bias and temperature, as well as that of AC pulse duty cycle and frequency are discussed. Impact of basic HKMG process variations, such as Nitrogen incorporation and interlayer thickness scaling are also discussed. Similarities and differences between NBTI and PBTI results are highlighted.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 84.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 109.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 109.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. G.E. Moore, Cramming more components onto integrated circuits. Proc. IEEE 86, 82 (1998)

    Article  Google Scholar 

  2. Y. Taur, T.H. Ning, Fundamentals of Modern VLSI Devices (Cambridge University Press, Cambridge, 2009)

    Google Scholar 

  3. T. Ghani, M. Armstrong, C. Auth, M. Bost, P. Charvat, G. Glass, T. Hoffmann, K. Johnson, C. Kenyon, J. Klaus, B. McIntyre, K. Mistry, A. Murthy, J. Sandford, M. Silberstein, S. Sivakumar, P. Smith, K. Zawadzki, S. Thompson, M. Bohr, A 90 nm high volume manufacturing logic technology featuring novel 45 nm gate length strained silicon CMOS transistors, in IEEE International Electron Devices Meeting Technical Digest (2003), p. 11.6

    Google Scholar 

  4. K. Mistry, C. Allen, C. Auth, B. Beattie, D. Bergstrom, M. Bost, M. Brazier, M. Buehler, A. Cappellani, R. Chau, C.-H. Choi, G. Ding, K. Fischer, T. Ghani, R. Grover, W. Han, D. Hanken, M. Hattendorf, J. He, J. Hicks, R. Huessner, D. Ingerly, P. Jain, R. James, L. Jong, S. Joshi, C. Kenyon, K. Kuhn, K. Lee, H. Liu, J. Maiz, B. McIntyre, P. Moon, J. Neirynck, S. Pae, C. Parker, D. Parsons, C. Prasad, L. Pipes, M. Prince, P. Ranade, T. Reynolds, J. Sandford, L. Shifren, J. Sebastian, J. Seiple, D. Simon, S. Sivakumar, P. Smith, C. Thomas, T. Troeger, P. Vandervoorn, S. Williams, K. Zawadzki, A 45 nm logic technology with High-k+ metal gate transistors, strained silicon, 9 Cu interconnect layers, 193 nm dry patterning, and 100 % Pb-free packaging, in IEEE International Electron Devices Meeting Technical Digest (2007), p. 247

    Google Scholar 

  5. X. Chen, S. Samavedam, V. Narayanan, K. Stein, C. Hobbs, C. Baiocco, W. Li, D. Jaeger, M. Zaleski, H.S. Yang, N. Kim, Y. Lee, D. Zhang, L. Kang, J. Chen, H. Zhuang, A. Sheikh, J. Wallner, M. Aquilino, J. Han, Z. Jin, J. Li, G. Massey, S. Kalpat, R. Jha, N. Moumen, R. Mo, S. Kirshnan, X. Wang, M. Chudzik, M. Chowdhury, D. Nair, C. Reddy, Y.W. Teh, C. Kothandaraman, D. Coolbaugh, S. Pandey, D. Tekleab, A. Thean, M. Sherony, C. Lage, J. Sudijono, R. Lindsay, J.H. Ku, M. Khare, A. Steegen, A cost effective 32 nm high-K/metal gate CMOS technology for low power applications with single-metal/gate-first process, in Symposium on VLSI Technology: Digest of Technical Papers (2008), p. 88

    Google Scholar 

  6. C. Auth, C. Allen, A. Blattner, D. Bergstrom, M. Brazier, M. Bost, M. Buehler, V. Chikarmane, T. Ghani, T. Glassman, R. Grover, W. Han, D. Hanken, M. Hattendorf, P. Hentges, R. Heussner, J. Hicks, D. Ingerly, P. Jain, S. Jaloviar, R. James, D. Jones, J. Jopling, S. Joshi, C. Kenyon, H. Liu, R. McFadden, B. McIntyre, J. Neirynck, C. Parker, L. Pipes, I. Post, S. Pradhan, M. Prince, S. Ramey, T. Reynolds, J. Roesler, J. Sandford, J. Seiple, P. Smith, C. Thomas, D. Towner, T. Troeger, C. Weber, P. Yashar, K. Zawadzki, K. Mistry, A 22 nm high performance and low-power CMOS technology featuring fully-depleted tri-gate transistors, self-aligned contacts and high density MIM capacitors, in Symposium on VLSI Technology: Digest of Technical Papers (2012), p. 131

    Google Scholar 

  7. S.-Y. Wu, C.Y. Lin, M.C. Chiang, J.J. Liaw, J.Y. Cheng, S.H. Yang, S.Z. Chang, M. Liang, T. Miyashita, C.H. Tsai, C.H. Chang, V.S. Chang, Y.K. Wu, J.H. Chen, H.F. Chen, S.Y. Chang, K.H. Pan, R.F. Tsui, C.H. Yao, K.C. Ting, T. Yamamoto, H.T. Huang, T.L. Lee, C.H. Lee, W. Chang, H.M. Lee, C.C. Chen, T. Chang, R. Chen, Y.H. Chiu, M.H. Tsai, S.M. Jang, K.S. Chen, Y. Ku, An enhanced 16 nm CMOS technology featuring 2nd generation FinFET transistors and advanced Cu/low-k interconnect for low power and high performance applications, in IEEE International Electron Devices Meeting Technical Digest (2014), p. 3.1.1

    Google Scholar 

  8. S. Mahapatra, N. Goel, S. Desai, S. Gupta, B. Jose, S. Mukhopadhyay, K. Joshi, A. Jain, A.E. Islam, M.A. Alam, A comparative study of different physics-based NBTI models. IEEE Trans. Electron Devices 60, 901 (2013)

    Article  Google Scholar 

  9. S. Mahapatra, V. Huard, A. Kerber, V. Reddy, S. Kalpat, A. Haggag, Universality of NBTI—from devices to circuits and products, in IEEE International Reliability Physics Symposium Proceedings (2014), p. 3B.1.1

    Google Scholar 

  10. A. Kerber, E.A. Cartier, Reliability challenges for CMOS technology qualifications with hafnium oxide/titanium nitride gate stacks. IEEE Trans. Device Mater. Reliab. 9, 147 (2009)

    Article  Google Scholar 

  11. B.E. Deal, M. Sklar, A.S. Grove, E.H. Snow, Characteristics of the surface-state charge (Qss) of thermally oxidized silicon. J. Electrochem. Soc. 114, 266 (1967)

    Article  Google Scholar 

  12. N. Kimizuka, K. Yamaguchi, K. Imai, T. Iizuka, C.T. Liu, R.C. Keller, T. Horiuchi, NBTI enhancement by nitrogen incorporation into ultrathin gate oxide for 0.10-μm gate CMOS generation, in Symposium on VLSI Technology: Digest of Technical Papers (2000), p. 92

    Google Scholar 

  13. Y. Mitani, M. Nagamine, H. Satake, A. Toriumi, NBTI mechanism in ultra-thin gate dielectric—nitrogen-originated mechanism in SiON, in IEEE International Electron Devices Meeting Technical Digest (2002), p. 509

    Google Scholar 

  14. Y. Mitani, H. Satake, A. Toriumi, Influence of nitrogen on negative bias temperature instability in ultrathin SiON. IEEE Trans. Device Mater. Reliab. 8, 6 (2008)

    Article  Google Scholar 

  15. S. Krishnan, V. Narayanan, E. Cartier, D. Ioannou, K. Zhao, T. Ando, U. Kwon, B. Linder, J. Stathis, M. Chudzik, A. Kerber, K. Choi, Bias temperature instability in high-κ/metal gate transistors—gate stack scaling trends, in IEEE International Reliability Physics Symposium Proceedings (2012), p. 5A.1.1

    Google Scholar 

  16. S. Pae, M. Agostinelli, M. Brazier, R. Chau, G. Dewey, T. Ghani, M. Hattendorf, J. Hicks, J. Kavalieros, K. Kuhn, M. Kuhn, J. Maiz, M. Metz, K. Mistry, C. Prasad, S. Ramey, A. Roskowski, J. Sandford, C. Thomas, J. Thomas, C. Wiegand, J. Wiedemer, BTI reliability of 45 nm high-K+ metal-gate process technology, in IEEE International Reliability Physics Symposium Proceedings (2008), p. 352

    Google Scholar 

  17. S. Ramey, A. Ashutosh, C. Auth, J. Clifford, M. Hattendorf, J. Hicks, R. James, A. Rahman, V. Sharma, A. St. Amour, C. Wiegand, Intrinsic transistor reliability improvements from 22 nm tri-gate technology, in IEEE International Reliability Physics Symposium Proceedings (2013), p. 4C.5.1

    Google Scholar 

  18. K.T. Lee, K. Wonchang, C. Eun-Ae, G. Kim, H. Shin, H. Lee, H. Kim, M. Choe, N.-I. Lee, A. Patel, J. Park, P. Jongwoo, Technology scaling on high-K and metal-gate FinFET BTI reliability, in IEEE International Reliability Physics Symposium Proceedings (2013), p. 2D.1.1

    Google Scholar 

  19. S. Deora, V.D. Maheta, G. Bersuker, C. Olsen, K.Z. Ahmed, R. Jammy, S. Mahapatra, A comparative NBTI study of HfO2/HfSiOX, and SiON p-MOSFETs using UF-OTF IDLIN technique. IEEE Electron Device Lett. 30, 152 (2009)

    Article  Google Scholar 

  20. K. Joshi, S. Mukhopadhyay, N. Goel, S. Mahapatra, A consistent physical framework for N and P BTI in HKMG MOSFETs, in IEEE International Reliability Physics Symposium Proceedings (2012), p. 5A.3.1

    Google Scholar 

  21. S. Desai, S. Mukhopadhyay, N. Goel, N. Nanaware, B. Jose, K. Joshi, S. Mahapatra, A comprehensive AC / DC NBTI model: Stress, recovery, frequency, duty cycle and process dependence, in IEEE International Reliability Physics Symposium Proceedings (2013), p. XT.2.1

    Google Scholar 

  22. N. Goel, K. Joshi, S. Mukhopadhyay, N. Nanaware, S. Mahapatra, A comprehensive modeling framework for gate stack process dependence of DC and AC NBTI in SiON and HKMG p-MOSFETs. Microelectron. Reliab. 54, 491 (2014)

    Article  Google Scholar 

  23. N. Goel, S. Mukhopadhyay, N. Nanaware, S. De, R.K. Pandey, K.V.R.M. Murali, S. Mahapatra, A comprehensive DC/AC model for ultra-fast NBTI in deep EOT scaled HKMG p-MOSFETs, in IEEE International Reliability Physics Symposium Proceedings (2014), p. 6A.4.1

    Google Scholar 

  24. S. Novak, S. Parker, C. Becher, D. Agostinelli, M. Chahal, M. Lui, M. Nakapani, P. Packan, P. Natarajan, Transistor aging and reliability in 14 nm tri-gate technology, in IEEE International Reliability Physics Symposium Proceedings (2015), p. 2F.2

    Google Scholar 

  25. S. Mahapatra, D. Saha, D. Varghese, P.B. Kumar, On the generation and recovery of interface traps in MOSFETs subjected to NBTI, FN, and HCI stress. IEEE Trans. Electron Devices 53, 1583 (2006)

    Article  Google Scholar 

  26. Y.M. Randriamihaja, X. Federspiel, V. Huard, A. Bravaix, P. Palestri, New hot carrier degradation modeling reconsidering the role of EES in ultra short N-channel MOSFETs, in IEEE International Reliability Physics Symposium Proceedings (2013), p. XT.1.1

    Google Scholar 

  27. S. Takagi, M. Takayanagi, A. Toriumi, Experimental examination of physical model for direct tunneling current in unstressed/stressed ultrathin gate oxides, in IEEE International Electron Devices Meeting Technical Digest (1999), p. 461

    Google Scholar 

  28. E. Cartier, A. Kerber, Stress-induced leakage current and defect in nFETs with HfO2/TiN gate stacks during positive-bias temperature stress, in IEEE International Reliability Physics Symposium Proceedings (2009), p. 486

    Google Scholar 

  29. M.A. Alam, J. Bude, A. Ghetti, Field acceleration for oxide breakdown-can an accurate anode hole injection model resolve the E vs. 1/E controversy?, in IEEE International Reliability Physics Symposium Proceedings (2000), p. 21

    Google Scholar 

  30. T. Nigam, P. Peumans, TDDB in the presence of interface states: implications for the PMOS reliability margin, in IEEE International Electron Devices Meeting Technical Digest (2008). doi:10.1109/IEDM.2008.4796814

  31. S. Rangan, N. Mielke, E.C.C. Yeh, Universal recovery behavior of negative bias temperature instability [PMOSFETs], in IEEE International Electron Devices Meeting Technical Digest (2003), p. 14.3.1

    Google Scholar 

  32. S. Mahapatra, P. Bharath Kumar, M.A. Alam, Investigation and modeling of interface and bulk trap generation during negative bias temperature instability of p-MOSFETs. IEEE Trans. Electron Devices 51, 1371 (2004)

    Article  Google Scholar 

  33. M.A. Alam, S. Mahapatra, A comprehensive model of PMOS NBTI degradation. Microelectron. Reliab. 45, 71 (2005)

    Article  Google Scholar 

  34. H. Reisinger, O. Blank, W. Heinrigs, A. Muhlhoff, W. Gustin, C. Schlunder, Analysis of NBTI degradation- and recovery-behavior based on ultra fast VT-measurements, in IEEE International Reliability Physics Symposium Proceedings (2006), p. 448

    Google Scholar 

  35. V. Huard, M. Denais, F. Perrier, N. Revil, C. Parthasarathy, A. Bravaix, E. Vincent, A thorough investigation of MOSFETs NBTI degradation. Microelectron. Reliab. 45, 83 (2005)

    Article  Google Scholar 

  36. S. Mahapatra, A.E. Islam, S. Deora, V.D. Maheta, K. Joshi, A. Jain, M.A. Alam, A critical re-evaluation of the usefulness of R-D framework in predicting NBTI stress and recovery, in IEEE International Reliability Physics Symposium Proceedings (2011), p. 6A.3.1

    Google Scholar 

  37. K.O. Jeppson, C.M. Svensson, Negative bias stress of MOS devices at high electric fields and degradation of MNOS devices. J. Appl. Phys. 48, 2004 (1977)

    Article  Google Scholar 

  38. G. Groeseneken, H.E. Maes, N. Beltran, R.F. De Keersmaecker, A reliable approach to charge-pumping measurements in MOS transistors. IEEE Trans. Electron Devices 31, 42 (1984)

    Article  Google Scholar 

  39. S.S. Tan, T.P. Chen, C.H. Ang, L. Chan, Atomic modeling of nitrogen neighboring effect on negative bias temperature instability of pMOSFETs. IEEE Electron Device Lett. 25, 504 (2004)

    Article  Google Scholar 

  40. C.H. Liu, M.T. Lee, J. Chen, K. Schruefer, J. Brighten, N. Rovedo, T.B. Hook, M.V. Khare, C. Wann, T.H. Ning, Mechanism and process dependence of negative bias temperature instability (NBTI) for pMOSFETs with ultrathin gate dielectrics, in IEEE International Electron Devices Meeting Technical Digest (2001), p. 39.2.1

    Google Scholar 

  41. T.B. Hook, R. Bolam, W. Clark, J. Burnham, N. Rovedo, L. Schutz, Negative bias temperature instability on three oxide thicknesses (1.4/2.2/5.2 nm) with nitridation variations and deuteration. Microelectron. Reliab. 45, 47 (2005)

    Article  Google Scholar 

  42. S. Mahapatra, K. Ahmed, D. Varghese, A.E. Islam, G. Gupta, L. Madhav, D. Saha, M.A. Alam, On the physical mechanism of NBTI in silicon oxynitride p-MOSFETs: can differences in insulator processing conditions resolve the interface trap generation versus hole trapping controversy?, in IEEE International Reliability Physics Symposium Proceedings (2007), p. 1

    Google Scholar 

  43. V.D. Maheta, E.N. Kumar, S. Purawat, C. Olsen, K. Ahmed, S. Mahapatra, Development of an ultrafast on-the-fly IDLIN technique to study NBTI in plasma and thermal oxynitride p-MOSFETs. IEEE Trans. Electron Devices 55, 2614 (2008)

    Article  Google Scholar 

  44. T. Sasaki, K. Kuwazawa, K. Tanaka, J. Kato, Engineering of nitrogen profile in an ultrathin gate insulator to improve transistor performance and NBTI. IEEE Electron Device Lett. 24, 150 (2003)

    Article  Google Scholar 

  45. M. Terai, K. Watanabe, S. Fujieda, Effect of nitrogen profile and fluorine incorporation on negative-bias temperature instability of ultrathin plasma-nitrided SiON MOSFETs. IEEE Trans. Electron Devices 54, 1658 (2007)

    Article  Google Scholar 

  46. Angle Resolved X-RAY Photoelectron Spectroscopy. [Online]. Available: http://goliath.emt.inrs.ca/surfsci/arxps/introcss.html

  47. C. Olsen, Two-step post nitridation annealing for lower EOT plasma nitrided gate dielectrics, WO2004081984 A2, (2004)

    Google Scholar 

  48. V.D. Maheta, C. Olsen, K. Ahmed, S. Mahapatra, The impact of gate dielectric nitridation methodology on NBTI of SiON p-MOSFETs as studied by UF-OTF technique, in IEEE International Symposium on the Physical and Failure Analysis of Integrated Circuits (2008). doi:10.1109/IPFA.2008.4588198

  49. K. Sakuma, D. Matsushita, K. Muraoka, Y. Mitani, Investigation of nitrogen-originated NBTI mechanism in SiON with high-nitrogen concentration, in IEEE International Reliability Physics Symposium Proceedings (2006), p. 454

    Google Scholar 

  50. Y. Mitani, T. Yamaguchi, H. Satake, A. Toriumi, Reconsideration of hydrogen-related degradation mechanism in gate oxide, in IEEE International Reliability Physics Symposium Proceedings (2007), p. 226

    Google Scholar 

  51. S. Mahapatra, FEOL and BEOL process dependence of NBTI, in Bias Temperature Instability for Devices and Circuits, ed. by T. Grasser (Springer, New York, 2014)

    Google Scholar 

  52. E.N. Kumar, V.D. Maheta, S. Purawat, A.E. Islam, C. Olsen, K. Ahmed, M.A. Alam, S. Mahapatra, Material dependence of NBTI physical mechanism in silicon oxynitride (SiON) p-MOSFETs: a comprehensive study by ultra-fast on-the-fly (UF-OTF) IDLIN technique, in IEEE International Electron Devices Meeting Technical Digest (2007), p. 809

    Google Scholar 

  53. C. Shen, M.-F. Li, C.E. Foo, T. Yang, D.M. Huang, A. Yap, G.S. Samudra, Y.-C. Yeo, Characterization and physical origin of fast Vth transient in NBTI of pMOSFETs with SiON dielectric, in IEEE International Electron Devices Meeting Technical Digest (2006). doi:10.1109/IEDM.2006.346776

  54. S. Pae, A. Ashok, T. Ghani, K. Lemay, M. Liu, R. Lu, P. Packan, C. Parker, R. Purser, A. St. Amour, B. Woolery, Reliability characterization of 32 nm high-K and metal-gate logic transistor technology, in IEEE International Reliability Physics Symposium Proceedings (2010), p. 287

    Google Scholar 

  55. J. Mitard, X. Garros, L.P. Nguyen, C. Leroux, G. Ghibaudo, F. Martin, G. Reimbold, Large-scale time characterization and analysis of PBTI in HFO2/metal gate stacks, in IEEE International Reliability Physics Symposium Proceedings (2006), p. 174

    Google Scholar 

  56. D. Heh, C.D. Young, G. Bersuker, Experimental evidence of the fast and slow charge trapping/detrapping processes in high-k dielectrics subjected to PBTI stress. IEEE Electron Device Lett. 29, 180 (2008)

    Article  Google Scholar 

  57. J. Yang, M. Masuduzzaman, K. Joshi, S. Mukhopadhyay, J. Kang, S. Mahapatra, M.A. Alam, Intrinsic correlation between PBTI and TDDB degradations in nMOS HK/MG dielectrics, in IEEE International Reliability Physics Symposium Proceedings (2012), p. 5D.4.1

    Google Scholar 

  58. E. Cartier, A. Kerber, T. Ando, M.M. Frank, K. Choi, S. Krishnan, B. Linder, K. Zhao, F. Monsieur, J. Stathis, V. Narayanan, Fundamental aspects of HfO2-based high-k metal gate stack reliability and implications on t inv-scaling, in IEEE International Electron Devices Meeting Technical Digest (2011), p. 18.4.1

    Google Scholar 

  59. A.E. Islam, V.D. Maheta, H. Das, S. Mahapatra, M.A. Alam, Mobility degradation due to interface traps in plasma oxynitride PMOS devices, in IEEE International Reliability Physics Symposium Proceedings (2008), p. 87

    Google Scholar 

  60. P.A. Kraus, K.Z. Ahmed, C.S. Olsen, F. Nouri, Physical models for predicting plasma nitrided Si-O-N gate dielectric properties from physical metrology. IEEE Electron Device Lett. 24, 559 (2003)

    Article  Google Scholar 

  61. Y.M. Lin, C.J. Wang, K. Wu, A new finding on NBTI lifetime model and an investigation on NBTI degradation characteristic for 1.2 nm ultra thin oxide, in IEEE International Reliability Physics Symposium Proceedings (2005), p. 704

    Google Scholar 

  62. B. Kaczer, V. Arkbipov, R. Degraeve, N. Collaert, G. Groeseneken, M. Goodwin, Disorder-controlled-kinetics model for negative bias temperature instability and its experimental verification, in IEEE International Reliability Physics Symposium Proceedings (2005), p. 381

    Google Scholar 

  63. D. Varghese, D. Saha, S. Mahapatra, K. Ahmed, F. Nouri, M. Alam, On the dispersive versus arrhenius temperature activation of NBTI time evolution in plasma nitrided gate oxides: measurements, theory, and implications, in IEEE International Electron Devices Meeting Technical Digest (2005), p. 684

    Google Scholar 

  64. A.E. Islam, H. Kufluoglu, D. Varghese, S. Mahapatra, M.A. Alam, Recent issues in negative-bias temperature instability: initial degradation, field dependence of interface trap generation, hole trapping effects, and relaxation. IEEE Trans. Electron Devices 54, 2143 (2007)

    Article  Google Scholar 

  65. J.R. Pfiester, F.K. Baker, T.C. Mele, H.-H. Tseng, P.J. Tobin, J.D. Hayden, J.W. Miller, C.D. Gunderson, L.C. Parrillo, The effects of boron penetration on p+ polysilicon gated PMOS devices. IEEE Trans. Electron Devices 37, 1842 (1990)

    Article  Google Scholar 

  66. N. Goel, N. Nanaware, S. Mahapatra, Ultrafast AC–DC NBTI characterization of deep IL scaled HKMG p-MOSFETs. IEEE Electron Device Lett. 34, 1476 (2013)

    Article  Google Scholar 

  67. K. Joshi, S. Hung, S. Mukhopadhyay, V. Chaudhary, N. Nanaware, B. Rajamohnan, T. Sato, M. Bevan, A. Wei, A. Noori, B. McDougal, C. Ni, G. Saheli, C. Lazik, P. Liu, D. Chu, L. Date, S. Datta, A. Brand, J. Swenberg, S. Mahapatra, HKMG process impact on N, P BTI: role of thermal IL scaling, IL/HK integration and post HK nitridation, in IEEE International Reliability Physics Symposium Proceedings (2013), p. 4C.2.1

    Google Scholar 

  68. W. Tsai, L.-A. Ragnarsson, L. Pantisano, P.J. Chen, B. Onsia, T. Schram, E. Cartier, A. Kerber, E. Young, M. Caymax, S. De Gendt, M. Heyns, Performance comparison of sub 1 nm sputtered TiN/HfO/sub 2/nMOS and pMOSFETs, in IEEE International Electron Devices Meeting Technical Digest (2003), p. 13.2.1

    Google Scholar 

  69. K. Choi, H. Jagannathan, C. Choi, L. Edge, T. Ando, M. Frank, P. Jamison, M. Wang, E. Cartier, S. Zafar, J. Bruley, A. Kerber, B. Linder, A. Callegari, Q. Yang, S. Brown, J. Stathis, J. Iacoponi, V. Paruchuri, V. Narayanan, Extremely scaled gate-first high-k/metal gate stack with EOT of 0.55 nm using novel interfacial layer scavenging techniques for 22 nm technology node and beyond, in Symposium on VLSI Technology: Digest of Technical Papers (2009), p. 138

    Google Scholar 

Download references

Acknowledgments

The authors would like to acknowledge Vrajesh Maheta for NBTI measurements in SiON devices, Applied Materials for providing SiON and HKMG devices and Ankush Chaudhary for editorial support.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Souvik Mahapatra .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2016 Springer India

About this chapter

Cite this chapter

Mahapatra, S., Goel, N., Mukhopadhyay, S. (2016). Introduction: Bias Temperature Instability (BTI) in N and P Channel MOSFETs. In: Mahapatra, S. (eds) Fundamentals of Bias Temperature Instability in MOS Transistors. Springer Series in Advanced Microelectronics, vol 52. Springer, New Delhi. https://doi.org/10.1007/978-81-322-2508-9_1

Download citation

  • DOI: https://doi.org/10.1007/978-81-322-2508-9_1

  • Published:

  • Publisher Name: Springer, New Delhi

  • Print ISBN: 978-81-322-2507-2

  • Online ISBN: 978-81-322-2508-9

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics