Skip to main content

Part of the book series: Lecture Notes in Electrical Engineering ((LNEE,volume 63))

Abstract

Large System-on-Chips are built by assembly of components modeled at different representation levels (TLM, RTL). The IP-Xact standard focuses on structure, type and memory information and ignores behavior and time issues. The uml profile for marte and its companion language ccslprovide advanced time modeling capabilities. By combining uml marte and IP-Xact, we introduce a more abstract timed representation level allowing the description of IP-Xact designs with uml based environments. This paper discusses the use of mar to annotate IP-Xact specifications with time requirements. These time requirements are first used in simulation to generate waveforms. Then, actual implementations are considered and adequate observers are generated to validate these implementations with respect to the marte specification. The proposal is illustrated on the Leon2 architecture.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 129.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 169.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 169.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. C. André, F. Mallet, Specification and verification of time requirements with CCSL and esterel, in LCTES, ed. by C. Kirsch, M.Kandemir (ACMDL, SIGPLAN/SIGBED, Dublin, Ireland, 2009), pp. 167–176

    Chapter  Google Scholar 

  2. C. André, F. Mallet, A. Khan, R. deSimone, Modeling spirit IP-XACT in UML marte, in Conf. on Design, Automation and Test in Europe (DATE), MARTE Workshop, 2008, pp. 35–40

    Google Scholar 

  3. ARM, AMBA Specification. ARM Limited. ARM IHI 0011A, 1999

    Google Scholar 

  4. L. Cai, D. Gajski, Transaction level modeling: an overview, in CODES+ISSS, ed. by R. Gupta, Y. Nakamura, A. Orailoglu, P.H. Chou (ACM DL, Newport Beach, CA, USA, 2003), pp. 19–24

    Google Scholar 

  5. R. Chen, M. Sgroi, L. Lavagno,G. Martin, A. Sangiovanni-Vincentelli, J. Rabaey, UML and platform-based design, in UML for Real: Sesign of Embedded Real-Time Systems (Kluwer, Norwell, MA, 2003), pp.107–126

    Google Scholar 

  6. S. Graf, I. Ober, I. Ober, A real-time profile for UML. STTT 8(2), 113–127 (2006)

    Google Scholar 

  7. M. Guiney, E. Leavitt An introduction to OpenAccess: an open source data model and API for IC design, in ASP-DAC, ed. by F.Hirose (IEEE, ACM DL, Yokohama, Japan, 2006), pp. 434–436

    Chapter  Google Scholar 

  8. IEEE Standards Association, Open SystemC Language Reference Manual. Open SystemC Initiative (IEEE Std. 2005), pp. 1666–2005

    Google Scholar 

  9. L. Lamport, Time, clocks, and the ordering of events in a distributed system. Commun. ACM 21(7), 558–565 (1978)

    Article  MATH  Google Scholar 

  10. F. Mallet, C. André, R. deSimone, CCSL: specifying clock constraints with UML/Marte ISSE 4(3), 309–314 (2008)

    Google Scholar 

  11. G. Martin, W. Mueller, UML for SoC Design (Springer, 2005), ISBN: 978-0-387-25744-0

    Google Scholar 

  12. OMG UML Profile for Schedulability, Performance, and Time Specification, v1.1. Object Management Group, formal/05-01-02, 2005

    Google Scholar 

  13. OMG UML Profile for System on a Chip, v1.0.1. Object Management Group, formal/06-08-01, 2006

    Google Scholar 

  14. OMG Unified Modeling Language, Superstructure. Object Management Group, Version 2.1.2 formal/2007-11-02, 2007

    Google Scholar 

  15. OMG UML Profile for MARTE, v1.0. Object Management Group, formal/2009-11-02, 2009

    Google Scholar 

  16. S. Revol, S. Taha, F. Terrier, A. Clouard, S. Gérard, A. Radermacher, J.-L. Dekeyser Unifying HW analysis and SoC design flows by bridging two key standards: UML and IP-XACT, in Distributed Embedded Systems: Design, Middleware and Resources, volume 271 of IFIP, ed. by B. Kleinjohann, L. Kleinjohann, W.Wolf (Springer, 2008), pp. 69–78

    Google Scholar 

  17. S. Revol, Profil UML pour TLM: contribution à la formalisation et à l’automatisation du flot de conception et vérification des systèmes sur puce. PhD thesis, INPG, Grenoble, France, 2008

    Google Scholar 

  18. E. Riccobene, P. Scandurra, A. Rosti, S. Bocchio, A Soc design methodology involving a UML 2.0 profile for SystemC, in Conference on Design, Automation and Test in Europe (DATE) (IEEE Computer Society, Munich, Germany, 2005)

    Google Scholar 

  19. T. Schattkowsky, UML 2.0 – overview and perspectives in SoC design, in DATE (IEEE Computer Society, Munich, Germany, 2005), pp. 832–833

    Google Scholar 

  20. T. Schattkowsky, T. Xie, UML and IP-XACT for Integrated SPRINT IP Management, in Design, Automation Conference (DAC), UML for SoC workshop, 2008

    Google Scholar 

  21. SPIRIT, IP-XACT v1.4: A Specification for XML Meta-Data and Tool Interfaces. Spirit Consortium, 2008, http://www.spiritconsortium.org

  22. A. Viehl, T. Schönwald, O. Bringmann, W. Rosenstiel, Wolfgang, Formal performance analysis and simulation of UML/SysML models for ESL design, in European Design and Automation Association, ed. by G.G.E Gielen, DATE (Leuven, Belgium, 2006), pp. 242–247

    Google Scholar 

  23. T. Weilkiens, Systems Engineering with SysML/UML: Modeling, Analysis, Design (The MK/OMG Press, Burlington, MA, 2008)

    Google Scholar 

  24. J. Zimmermann, O. Bringmann, J. Gerlach, F. Schaefer, U. Nageldinger, Holistic system modeling and refinement of interconnected microelectronics systems, in Conference on Design, Automation and Test in Europe (DATE), MARTE Workshop, 2008

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Frédéric Mallet .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2010 Springer Science+Business Media B.V.

About this chapter

Cite this chapter

Mallet, F., André, C., de Simone, R. (2010). IP-XACT Components with Abstract Time Characterization. In: Borrione, D. (eds) Advances in Design Methods from Modeling Languages for Embedded Systems and SoC’s. Lecture Notes in Electrical Engineering, vol 63. Springer, Dordrecht. https://doi.org/10.1007/978-90-481-9304-2_1

Download citation

  • DOI: https://doi.org/10.1007/978-90-481-9304-2_1

  • Published:

  • Publisher Name: Springer, Dordrecht

  • Print ISBN: 978-90-481-9303-5

  • Online ISBN: 978-90-481-9304-2

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics